0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

时序逻辑电路设计之异步计数器

CHANBAEK 来源:小菜鸡的分享大会 作者:小菜鸡ssj 2023-05-22 17:07 次阅读

上文介绍了同步计数器的设计原则以及各注意事项,本文承接上文继续介绍异步计数器以及三种常用的集成计数器的相关内容。

异步计数器设计

异步计数器与同步计数器最大的不同点就在于时钟信号的不一致性,即同步计数器的CP时钟都是同一个,异步计数器的时钟并不完全都是同一个,它可以是任何一个上级或下级触发器的输出及其组合逻辑,这就要求我们在设计异步计数器时,不能在列出真值表之后立即书写三大方程,而是必须先列出各触发器的时钟信号,这是与同步电路相比,异步电路设计的最大特点。 下面我们以题5.25为例说明。

题干要求利用D触发器设计十一进制异步加法计数器。 首先还是要先列出真值表

图片

首先另CP0=CP,即最低位变化最为简单明显,采用直接加入时钟信号的方式。

其次注意Q1,Q1只有在Q0下降沿才发生变化(这里注意使用的触发器时D触发器,所以应该观察的时沿,而不是具体的高低电平),但是D触发器是上升沿触发,所以CP1=Q0(非).依次可得CP2=Q1(非)、CP3=Q2(非)。

细心观察真值表的同学这个时候要质疑了,你这不对呀,最后一行明明不符合上述的触发机制,但是很恰巧的是只有最后一行0000不符合这一规则,因此我们可以在1010时直接置零得到,此时上述规则成立。 并且得到极为简单的驱动方程,即

D0=Q0(非); D1=Q1(非); D2=Q2(非); D3=Q3(非); R(非)=(Q3Q1)(非)。 电路如图

图片

上述方法的合理性在于,最根本的异步计数器的设计应当对进制有要求,即M应为2的指数,这时直接令

①加法计数器下降沿情况:CP0=CP,CPi=Q(i-1)

②加法计数器上升沿情况:CP0=CP,CPi=Q(i-1)(非)

减法计数器与加法计数器取法相反

对于上述不是2的指数的情况,我们应当观察真值表,寻找最多的符合的情况,对于不符合的情况加以修改(如上述R的设置),从而达到设计要求,最后同样要检查自启动问题。

集成计数器芯片

集成计数器芯片是之后进行电路设计的基本单元,而不用触发器自行搭建计数器,只需要对已有计数器进行外围电路修改即可,这里介绍课程中涉及到的三种计数器——74161、74160与74LS90。

一、74161

74161是4位同步二进制计数器,具有计数、保持、预置、清零四项基本功能,时钟信号上升沿有效,因为其内置触发器为JK触发器。芯片各端口及功能如下:

图片

图片

74161值得注意的一点在于具有清零端和预置端,尤其是预置端,这就给予了74161进行进制更改的极大空间,我们之后的任意进制计数器就是基于这两个功能设计的。

二、74160

74160是同步十进制计数器,除此外与74161完全一致,包括各个端口及特性,因此不再赘述。

三、74LS90

74LS90是二-五-十进制异步计数器,上升沿触发,这三个进制的转变不需要外部的额外组合电路,只需进行不同地连接即可,具体如下:

图片

图片

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 逻辑电路
    +关注

    关注

    13

    文章

    471

    浏览量

    42218
  • 计数器
    +关注

    关注

    32

    文章

    2127

    浏览量

    93032
  • 触发器
    +关注

    关注

    14

    文章

    1694

    浏览量

    60425
  • 时序逻辑电路

    关注

    2

    文章

    78

    浏览量

    16402
  • 同步电路
    +关注

    关注

    1

    文章

    56

    浏览量

    13138
收藏 人收藏

    评论

    相关推荐

    时序逻辑电路的精华——计数器

    时序逻辑电路的精华——计数器
    的头像 发表于 12-29 09:23 1061次阅读

    时序逻辑电路设计

    时序逻辑电路设计6.1 基本D触发的设计6.2 JK触发6.3 带异步复位/置位端的使能T触发
    发表于 03-20 10:04

    【转】 时序逻辑电路的三种逻辑器件

    时序逻辑电路应用很广泛,根据所要求的逻辑功能不同进行划分,它的种类也比较繁多。在具体的授课环节中,主要选取了应用较广、具有典型时序逻辑电路
    发表于 10-25 23:03

    【FPGA开源教程连载】第三章 时序逻辑电路设计计数器

    本帖最后由 芯航线跑堂 于 2016-12-25 01:52 编辑 时序逻辑电路设计计数器实验目的:以计数器为例学会简单的时序
    发表于 12-21 19:15

    时序电路设计计数器详解

    时序逻辑电路设计计数器实验目的:以计数器为例学会简单的时序逻辑电路设计实验平台:芯航线FPGA
    发表于 01-24 06:35

    异步时序逻辑电路

    异步时序逻辑电路:本章主要从同步时序逻辑电路异步时序
    发表于 09-01 09:12 0次下载

    移位型计数器中反馈逻辑电路的设计

    摘要:移位型计数器是以移位寄存器为主体构成的同步计数器。这类计数器具有电路连接简单,编码别具特色的特点,用途十分广泛。文中介绍了移位型计数器
    发表于 04-26 11:16 29次下载

    时序逻辑电路

    实验十六  时序逻辑电路? 实验(1) 计数器?一、实验目的?⒈ 熟悉计数器的设计方法及工作原理。?⒉ 了解同步计数器
    发表于 09-24 22:17 3226次阅读
    <b class='flag-5'>时序</b><b class='flag-5'>逻辑电路</b>

    Multisim的时序逻辑电路设计仿真

    通过介绍Multisim软件的功能和特点,结合格雷玛计数器的设计实例,叙述了在Multisim软件平台进行时序逻辑电路的设计原理及构成方法,并利用软件对设计进行仿真。
    发表于 02-10 16:43 133次下载
    Multisim的<b class='flag-5'>时序</b><b class='flag-5'>逻辑电路设计</b>仿真

    计数器时序电路

    1、了解时序电路的经典设计方法(D触发器、JK触发器和一般逻辑门组成的时序逻辑电路)。 2、了解同步计数器
    发表于 07-10 14:37 15次下载

    时序逻辑电路分为几类

    时序逻辑电路是由组合逻辑电路与记忆电路(又称存储电路) 组合而成的。 常见时序
    的头像 发表于 02-26 15:25 5w次阅读

    同步计数器异步计数器是什么 同步计数器异步计数器的主要区别?

    在数字电子产品中,计数器是由一系列触发器组成的时序逻辑电路。顾名思义,计数器用于计算输入在负或正边沿转换中出现的次数。根据触发触发器的方式,计数器
    的头像 发表于 03-25 17:31 2w次阅读
    同步<b class='flag-5'>计数器</b>和<b class='flag-5'>异步</b><b class='flag-5'>计数器</b>是什么 同步<b class='flag-5'>计数器</b>和<b class='flag-5'>异步</b><b class='flag-5'>计数器</b>的主要区别?

    时序逻辑电路设计计数器

    前面已经学习了时序逻辑电路中的基本单元:触发器,这次就用其来整点活,实现计数器的设计,计数器可以说是任何和时序有关的设计都会用到他。
    的头像 发表于 05-22 16:54 2895次阅读
    <b class='flag-5'>时序</b><b class='flag-5'>逻辑电路设计</b>之<b class='flag-5'>计数器</b>

    时序逻辑电路设计之同步计数器

    时序电路的考察主要涉及分析与设计两个部分,上文介绍了时序逻辑电路的一些分析方法,重点介绍了同步时序电路分析的步骤与注意事项。 本文就时序
    的头像 发表于 05-22 17:01 2055次阅读
    <b class='flag-5'>时序</b><b class='flag-5'>逻辑电路设计</b>之同步<b class='flag-5'>计数器</b>

    时序逻辑电路有哪些 时序逻辑电路和组合逻辑电路区别

    产生相应的输出信号。本文将详细介绍时序逻辑电路的分类、基本原理、设计方法以及与组合逻辑电路的区别。 一、时序逻辑电路的分类
    的头像 发表于 02-06 11:18 1081次阅读