0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

FPGA常用运算模块-加减法器和乘法器

CHANBAEK 来源:FPGA and ICer 作者: Vuko 2023-05-22 16:13 次阅读

写在前面

本文是本系列的第二篇,本文主要介绍FPGA常用运算模块-加减法器和乘法器,xilinx提供了相关的IP以便于用户进行开发使用。

加减法器模块

在xilinx中,有一个IP模块提供加减法运算的功能,

wKgZomRrI7mASIKlAAAs5y7cSeM977.jpg

概述

加法器/减法器IP 提供 LUT 和单个 DSP slice 实现加减法实现。加法器/减法器模块可以创建加法器(A+B)、减法器(A–B) 和可动态配置的加法器/减法器,用于操作有符号或无符号数据。该功能可以在一个单个 DSP slice 或 LUT(但目前不是两者的混合)。该模块可以流水线化。支持fabric实现输入范围从1到256位宽,该IP核支持DSP片实现,输入高达58位。可选进位输入和输出、时钟启用和同步清除、旁路(负载)能力、设置B值为一个常量。

IP核图示以及端口介绍

wKgaomRrI7mAfhImAACQ7ibp6Ao801.jpg

Name Direction Description
A[N:0] Input 输入端口A,位宽为N+1
B[M:0] Input 输入端口B,位宽为M+1
ADD Input 控制加法器/减法器执行的操作(1=加法,0=减法)
C_IN Input 进位输入
C_OUT Output 进位输出
S[P:0] Output 结果输出
BYPASS Input 旁路控制,将B输出给S
CE Input 时钟使能,高有效
CLK Input 时钟信号,上升沿有效
SCLR Input 同步复位,将重置核心中的所有寄存器,定制内核时可以选择SCLR和CE引脚的优先级
SINIT Input Synchronous Initialization - forces outputs to a user defined state when driven High
SSET Input Synchronous Set - forces outputs to a High state when driven High

如果Constant Input = TRUE and Bypass = FALSE,则B端口不存在。一个用户定义的核心内部常量被应用到B操作数的位置。

输出位宽设计

wKgZomRrI7mAZOPXAAJjlk9a_-c337.jpg

Q的值等于AB输入两者之间的最大值。

流水线操作

加法器/减法器模块可以选择流水线操作用来提高速度。 流水线操作由延迟参数控制。 将延迟配置设置为自动,以实现最优的流水线速度。 将延迟配置设置为手动,以允许在延迟参数中输入有效数量的流水线层级数。

DSP片

对于DSP片的实现方式,单个的DSP片可以用0、1或2级寄存器进行流水线操作。 Latency Configuration = Automatic ,此时优化速度延迟获得最优的流水操作速度; 如果Latency = 1, 只有输出寄存器存在。 Latency = 2,输出和输入寄存器都存在。

Fabric 实现

对于使用PFGA的逻辑资源的实现方式,流水线操作是通过将输入总线 分成许多总线片(等于流水线阶段的数量)来实现的。 在第一阶段,对每个总线片做尽可能多的工作,将它们加在一起,并存储结果和每个结果的进位输出。 在第二阶段,从最低有效位的部分得到的进位被输入到下一个较高有效位的结果中,它产生一个进位被输入到下一个阶段的下一个结果中,直到进位被传播到顶部。

因为需要存储的数据较少,所以这比存储每个切片的输入直到生成该切片的进位的更直观的技术更有效。 此外,该设计更小且更易于布线。

上电或复位后,流水线模块需要几个时钟周期才使输出变为有效,由延迟控制参数指定。

如果在流水线模块上请求旁路,则旁路值会在延迟控制指定的时钟周期数之后出现在输出上。 如果同时请求旁路和时钟使能,则必须设置旁路优先级,以便旁路不会覆盖时钟使能。 对于流水线模块,资源使用率大约是非流水线模块的延迟倍数。 为了提高时钟速度,流水线导致面积使用量的显着增加。 如果需要延迟但面积比速度更重要,请在此模块的 S 输出中添加一个基于 SRL16 的移位寄存器,以优化面积使用。

加法器IP配置

加法器IP配置如图所示,

wKgaomRrI7mAejSmAABnbndMg9o005.jpg

在basic界面,可以对IP的实现方式,输入的数据类型,位宽,IP的方式(加法器、减法器、加减法器)、流水操作延迟方式和延时周期,常数输入进行配置。

Constant Input and Constant Value :当常量输入为 TRUE 时,端口 B 设置为参数 Constant Value 指定的值。 常数值必须是以二进制格式输入且不得超过 B 输入宽度。 在大多数情况下指定端口 B 是一个常量时候,会自动创建一个没有端口 B 的模块。 但是在当请求旁路功能时,因为需要端口 B 来提供旁路数据。 默认是端口 B 提供的端口 B 值。 会生成B端口。

加减法器的控制配置界面如下,

wKgZomRrI7mAHunMAACTn_zV-yI148.jpg

在控制界面可以配置加减法器进位、旁路、复位等控制操作。

Carry In :设置为TRUE时,创建一个C_IN端口。 这是用于加法器的高电平有效进位端口和用于减法器和加/减法器的可编程(高电平有效/低电平有效,带借入/输出检测)进位端口。

Carry Out :当设置为TRUE时,创建一个端口C_OUT。 实现了加法器和加减法器的高有效同步进位,以及可编程(设置高低有效,借入/借出检测)的减法器和加减法器中的减法器的借位标志。

Bypass :设置为 TRUE 时,创建旁路引脚。 激活 BYPASS 引脚设置输出为端口 B 上给定的值。 此功能用于创建可加载的计数器和累加器。

Bypass and Clock Enable (CE) Priority :该参数控制是否旁路输入由时钟使能限定。 当设置为Bypass_Overrides_CE时,BYPASS 信号的激活也使能寄存器。 当设置为CE_Overrides_Bypass,寄存器必须有 CE 激活才能加载 B 端口数据。

Bypass Sense :控制旁路的敏感电平,是高有效还是低有效,因为高低电平有效在有些时候都能获得更好的效率。

Borrow In/Out Sense :当设置为Active_Low时,用于减法的C_IN和C_OUT引脚是低有效的。 这符合fabric实现规则,是一个最佳设置。

Synchronous Set :指定是否包含 SSET 引脚。 在DSP实现模式下,SSET 引脚无效。

Synchronous Init :指定是否包含一个SINIT引脚,当断言时,该引脚同步地将输出值设置为Init value定义的值。 如果SINIT存在,那么SSET和SCLR都不存在。 在DSP实现模式下,SINIT引脚是无效的。

Init Value :十六进制指定当断言SINIT时输出初始化为指定的值。 如果Synchronous Init = false 则忽略。

Power on Reset Init Value :指定(十六进制)S寄存器在上电复位时初始化的值。

Synchronous Controls and Clock Enable (CE) Priority : 该参数控制SCLR(以及逻辑单元模式下的SSET和SINIT)输入是否由时钟使能限定。 当设置为 Sync_Overrides_CE 时,同步控制覆盖 CE 信号。 当设置为 CE_Overrides_Sync 时,控制信号仅在 CE 为高时有效。 请注意,在结构原语上,SCLR 和 SSET 控制覆盖 CE,因此选择 CE_Overrides_Sync 通常会导致额外的逻辑。

Sync Set and Clear (Reset) Priority :控制 SCLR 和 SSET 的相对优先级。 当设置为 Reset_Overrides_Set 时,SCLR 会覆盖 SSET。 默认值是Reset_Overrides_Set,因为这是原语的排列方式。 使 SSET 优先需要额外的逻辑。

乘法器

乘法器IP实现高性能、优化的乘法器方案。 可以使用资源和性能权衡选项来为特定的应用程序定制IP。 该IP支持输入范围从1到64位,输出从1到128位。 所有乘法器都可配置延迟。 当使用DSP Slice时,支持对称四舍五入到无限。

概述

乘法器IP允许设计者精细地构建定点乘法器。 可以使用 DSP Slices、Slice 逻辑或组合的方式进行构建乘法器IP,并且针对性能或资源进行了优化的结构。 常数系数乘法器也可以使用许多不同的逻辑资源选项来实现。 并且可以通过流水线操作层级数量以适应延迟和性能要求。 DSP Slice的对称舍入特性可用于并行乘法器。

IP核图示以及端口介绍

wKgZomRrI7mAO3wxAAAtmmDJhV4861.jpg


Signal Direction Description
A[N-1:0] Input 乘数A,位宽为N
B[M-1:0] Input 乘数B,位宽为M,只有在parallel multipliers模式下有该端口。
CLK Input 时钟信号
CE Input 高有效时钟使能信号
SCLR Input 高有效同步复位信号,(SCLR/CE 优先级可以配置)
P[X:Y] Output 乘法输出

乘法器IP配置

乘法器IP的basic配置界面如下:

wKgaomRrI7mAb9TSAABn0sHVSqE035.jpg

在该界面可以进行配置乘法器的类型,乘数的数据类型,位宽,乘法器的实现方式以及优化方式。

Multiplier Type :在并行和常数系数乘法器选项之间进行选择。

并行乘法器选项:这些选项只有当选择的乘法器类型是并行乘法器时才可见。

Multiplier Construction :选择用于IP实现的结构是LUT还是专用乘法器原语。

优化选项 :

DSP48E1 Slice:可以为高达 47x47 的乘法器大小选择速度或面积优化。 速度优化 :充分利用乘法器原语来提供最高性能的实现。 面积优化 :混合使用切片逻辑和专用乘法器原语来降低基于 DSP 切片的乘法器利用率,同时仍提供合理的性能。 对于 47x47 以上的尺寸,只允许优化速度。

LUT-based multipliers : 区域优化降低了延迟和LUT利用率,以可实现的时钟频率为代价。 当两个输入操作数都是无符号且两个输入操作数都小于16位时,区域优化是最有效的。

Constant-Coefficient Multiplier Options :这些选项只有当选择的乘数类型是常数系数乘法器时才可见。

Coefficient :在显示的范围内输入系数的整数值。 支持正系数和负系数。 常量 (B) 端口的输入类型(有符号或无符号)由 Vivado IDE 根据输入的整数常量自动配置。 可以选择 A 端口是有符号的还是无符号的。

Memory Options : 选择乘法器是使用分布式内存、块内存还是使用 DSP Slices 来实现。

乘法器IP的输出控制配置界面如下:

Output Product Range: 根据输入操作数的宽度自动配置输出产品宽度。

Use Custom Output Width :如果通过设置 MSB 和 LSB 范围,对输出进行切片。

Use Symmetric Rounding :对于基于 DSP Slice 的并行乘法器,如果需要,可以将乘积对称舍入到无穷大。这与MATLAB的 round 函数的行为相同。

流水线和控制信号:

流水线阶段

为乘数实例选择流水线操作的层级。右边的标签提供了关于实现最佳性能的流水操作的最佳数量的反馈。

wKgZomRrI7mAZNUCAAA002mi3aQ499.jpg

Pipeline Stages = 0 意味着IP是组合的。

Pipeline Stages = 1 意味着IP的输出是寄存器型的。

Pipeline Stages > 1 使寄存器插入到输入和输出之间,直到最优的流水线操作的层级。添加更多寄存器可以提高可实现的时钟速度,同时增加延迟。

流水线操作的层级设置的值大于最优值的值,将导致在输出时添加基于SRL16的移位寄存器,以实现额外的延迟。

Clock Enable :选择设计中的所有寄存器是否都具有时钟使能控制。

Synchronous Clear :选择是否该设计中的所有寄存器都具有同步复位控制。

SCLR/CE Priority :当SCLR和CE引脚同时存在时,可以选择scr和CE的优先级。选择 SCLR overrides CE ,使用的资源最少,实现的性能最好。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • dsp
    dsp
    +关注

    关注

    544

    文章

    7682

    浏览量

    344362
  • FPGA
    +关注

    关注

    1602

    文章

    21320

    浏览量

    593195
  • Xilinx
    +关注

    关注

    70

    文章

    2121

    浏览量

    119373
  • 乘法器
    +关注

    关注

    8

    文章

    192

    浏览量

    36585
  • 减法器
    +关注

    关注

    1

    文章

    26

    浏览量

    16695
收藏 人收藏

    评论

    相关推荐

    数字电路中加法器减法器逻辑图分析

    多位二进制减法器,是由加法电路构成的;在加法电路的基础上,减法与加法采用同一套电路,实现加减法共用。
    发表于 09-01 16:02 2.1w次阅读
    数字电路中加<b class='flag-5'>法器</b>和<b class='flag-5'>减法器</b>逻辑图分析

    减法器电路与原理 减法器电路图分享

    减法器是一种电路,它可以实现二进制数字的减法运算减法器的工作原理基于位运算和进位/借位机制。
    的头像 发表于 02-19 09:36 1794次阅读
    <b class='flag-5'>减法器</b>电路与原理 <b class='flag-5'>减法器</b>电路图分享

    如何设计一个16比特的减法器呢?

    减法电路是基本集成运放电路的一种,算术运算电路主要包括数字**加法器电路、数字减法器电路、数字乘法器电路和数字除
    的头像 发表于 02-19 10:00 355次阅读
    如何设计一个16比特的<b class='flag-5'>减法器</b>呢?

    fpga乘法器,要求快的

    说明:求fpga乘法器,要求快的,不是一个一个的加,而是像乘法竖式一样的,如:10111000111000 *1011111 =10111000111000*1011111
    发表于 08-16 14:08

    减法器设计异常

    如图用op07做的减法器,不管正向输入端和反向输入端如何输入,输出都不满足减法器的理论值,求大神指导下谢谢!!!
    发表于 02-14 15:09

    哪一个是减法器?负反馈在减法器电路中的原理?

    下图哪一个电路是减法器?按照书上的电路,减法器应该构成负反馈,可是把运放接成正反馈之后,输出却没有变化,那么负反馈或者正反馈在电路中的作用是什么呢?问题来自论坛里的这个电路,看到构成的是正反馈
    发表于 08-31 19:46

    寻求为FIR滤波器实现无乘法器乘法器

    大家好,如果这是错误的论坛,请道歉,如果有人指向正确的论坛,我将不胜感激。免责声明:我是VHDL的新手。我正在寻求为FIR滤波器实现无乘法器乘法器。我想尽可能地做到一般,所以我不想硬编码我的组件
    发表于 04-19 07:02

    怎么设计基于FPGA的WALLACETREE乘法器

    在数字信号处理中,乘法器是整个硬件电路时序的关键路径。速度和面积的优化是乘法器设计过程的两个主要考虑因素。由于现代可编程逻辑芯片FPGA的集成度越来越高,及其相对于ASIC设计难度较低和产品设计
    发表于 09-03 07:16

    乘法器对数运算电路应用

    乘法器对数运算电路应用 由对数电路实现乘法运算的数学原理是:UO=EXP(INU11+INU12)=U11+U12 图5.4-19示出了满足上式的
    发表于 04-24 16:03 2311次阅读
    <b class='flag-5'>乘法器</b>对数<b class='flag-5'>运算</b>电路应用

    1/4平方乘法器

    1/4平方乘法器 这种乘法器是根据数学关系设计而成的,因此称为1/4平方乘法电路,或称1/4平方乘法器。其
    发表于 05-18 14:08 1815次阅读
    1/4平方<b class='flag-5'>乘法器</b>

    变跨导乘法器

    变跨导乘法器 这种乘法器现在已经成为一种工业上的标准方法,是应用极为广泛的优质乘法器
    发表于 05-18 16:00 1115次阅读

    乘法器在模拟运算电路中的应用

    乘法器在模拟运算电路中的应用 相乘运算
    发表于 05-18 16:48 1895次阅读

    基于IP核的乘法器设计

    实验目的 1、熟悉Xilinx的ISE 软件的使用和设计流程; 2、掌握Modelsim仿真软件的使用方法; 3、用乘法运算符实现一个16*16 乘法器模块; 4、用IP核实现一个16
    发表于 05-20 17:00 66次下载
    基于IP核的<b class='flag-5'>乘法器</b>设计

    8位加法器减法器设计实习报告

    8位加法器减法器设计实习报告
    发表于 09-04 14:53 133次下载

    FPGA常用运算模块-复数乘法器

    本文是本系列的第五篇,本文主要介绍FPGA常用运算模块-复数乘法器,xilinx提供了相关的IP以便于用户进行开发使用。
    的头像 发表于 05-22 16:23 1394次阅读
    <b class='flag-5'>FPGA</b><b class='flag-5'>常用</b><b class='flag-5'>运算</b><b class='flag-5'>模块</b>-复数<b class='flag-5'>乘法器</b>