0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

简单的状态机入门知识详解

电子设计 来源:电子设计 作者:电子设计 2020-12-24 18:06 次阅读

大家晚上好,今天给大家分享一个篇关于状态机的学习。为啥突然会写这个话题,因为今天要看文章和视频学习的时候,突然看到了“状态机”三个字,也突然让我想起了,在刚入职一家公司的时候,看产品的源代码画出整个软件框架流程图来,现在我还清晰的记得当时公司产品里面就有用到这个状态机的用法,但是当时我刚接触到这个东西,看了老半天那个源码吗,没看懂,因为那时候第一次看那么大的工程量代码,说实话脑袋有点晕晕的,不是很习惯。所以今天的文章只是带大家入门和了解一下状态机,等你真正在工作当中有遇到这个状态机作为开发需要的话,你再去深入研究。

一、什么是状态机?

1、有限状态机:

常说的状态机是有限状态机FSM(Finite State Machine)。FSM指的是有有限个状态(一般是一个状态变量的值),这个机器同时能够从外部接收信号信息输入,机器在接收到外部输入的信号后会综合考虑当前自己的状态和用户输入的信息,然后机器做出动作:跳转到另一个状态。

举个实际生活例子来理解一下,比如我们平时烧开水喝,在你没烧之前,水的状态是液态的,但是当你把外界温度一升高,水达到它的沸点之后,就会形成水蒸气;当你把外界温度降下来,水蒸气就有会变成液态的水了。这里是外界条件是温度,温度的变化会引起水的状态的变化。这个例子可以和我们的状态机来做一个简单的思想对比。

2、考虑状态机的关键点:

从刚才的定义来看,我们只要抓住状态机的关键点来理解就行:

1、外部输入

2、当前状态

3、下一个状态

二、两种状态机类型:

(1)Moore型状态机特点是:输出只与当前状态有关(与输入信号无关)。相对简单,考虑状态机的下一个状态时只需要考虑它的当前状态就行了。

(2)Mealy型状态机的特点是:输出不只和当前状态有关,还与输入信号有关。状态机接收到一个输入信号需要跳转到下一个状态时,状态机综合考虑2个条件(当前状态、输入值)后才决定跳转到哪个状态。

三、状态机的常见用途:

(1)电路设计中广泛使用了状态机思想。

(2)FPGA程序设计。

(3)软件设计(框架类型的设计,譬如操作系统的GUI系统、消息机制)。

四、状态机解决了什么问题:

(1)我们平时写程序都是顺序执行的,这种程序有个特点:程序的大体执行流程是既定的,程序的执行是遵照一定的大的方向有迹可寻的。

(2)但是偶尔会碰到这样的程序:外部不一定会按照既定流程来给程序输入信息,而程序还需要完全能够接收并响应外部的这些输入信号,还要能做出符合逻辑的输出。

五、实战例子:

开锁状态机。功能描述:用户连续输入正确的密码则会开锁,如果密码输入过程错误则锁会退回到初始状态重新计入密码,即:用户只需要连续输入出正确的密码即可开锁(输入错误不用撤销、也不用删除)。

#include <stdio.h>

// 给状态机定义状态集

typedef enum

STATE1,

STATE2,

STATE3,

STATE4,

STATE5,

STATE6,

STATE7,

}STATE;

int main(void)

int num = 0;

// current_state记录状态机的当前状态,初始为STATE1,用户每输入一个正确的

// 密码STATE就走一步,一直到STATE为STATE7后锁就开了;其中只要有一次用户

// 输入对不上就回到STATE1.

STATE current_state = STATE1; // 状态机初始状态为STATE1

// 第一步:实现一个用户循环输入密码的循环

printf("请输入密码,密码正确开锁.");

while (1)

scanf("%d", &num);

printf("num = %d.", num);

// 在这里处理用户的本次输入

switch (current_state)

case STATE1:

if (num == 1)

current_state = STATE2; // 用户输入对了一步,STATE走一步

else

current_state = STATE1;

break;

审核编辑:符乾江
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 状态机
    +关注

    关注

    2

    文章

    486

    浏览量

    27168
  • fsm
    fsm
    +关注

    关注

    0

    文章

    35

    浏览量

    12718
收藏 人收藏

    评论

    相关推荐

    Verilog状态机+设计实例

    在verilog中状态机的一种很常用的逻辑结构,学习和理解状态机的运行规律能够帮助我们更好地书写代码,同时作为一种思想方法,在别的代码设计中也会有所帮助。 一、简介 在使用过程中我们常说
    的头像 发表于 02-12 19:07 1997次阅读
    Verilog<b class='flag-5'>状态机</b>+设计实例

    SaberRD状态机建模工具介绍(一)什么是状态机建模

    状态机建模是使用状态图和方程式的手段,创建基于混合信号的有限状态机模型的一种建模工具。
    的头像 发表于 12-05 09:51 532次阅读
    SaberRD<b class='flag-5'>状态机</b>建模工具介绍(一)什么是<b class='flag-5'>状态机</b>建模

    状态机怎么上来就错了?怎么解决?

    状态机本身很简单,default也写了,然后进行仿真时看到了这样的波形:
    的头像 发表于 12-04 10:43 191次阅读
    <b class='flag-5'>状态机</b>怎么上来就错了?怎么解决?

    什么是状态机状态机的种类与实现

    状态机,又称有限状态机(Finite State Machine,FSM)或米利状态机(Mealy Machine),是一种描述系统状态变化的模型。在芯片设计中,
    的头像 发表于 10-19 10:27 5014次阅读

    有限状态机分割设计

    有限状态机分割设计,其实质就是一个状态机分割成多个状态机
    的头像 发表于 10-09 10:47 354次阅读

    如何使用FSME来定制状态机

    定制状态机 目前得到的状态机已经能够响应来自外部的各种事件,并适当地调整自己当前所处的状态,也就是说已经实现了状态机引擎的功能,接下来要做的就是根据应用的具体需求来进行定制,为
    的头像 发表于 09-13 16:57 879次阅读
    如何使用FSME来定制<b class='flag-5'>状态机</b>

    如何生成状态机框架

    生成状态机框架 使用FSME不仅能够进行可视化的状态机建模,更重要的是它还可以根据得到的模型自动生成用C++或者Python实现的状态机框架。首先在FSME界面左边的树形列表中选择"Root
    的头像 发表于 09-13 16:54 650次阅读
    如何生成<b class='flag-5'>状态机</b>框架

    自动生成程序状态机代码状态机建模方法

    首先运行fsme命令来启动状态机编辑器,然后单击工具栏上的“New”按钮来创建一个新的状态机。FSME中用于构建状态机的基本元素一共有五种:事件(Event)、输入(Input)、输出(Output
    的头像 发表于 09-13 16:50 732次阅读
    自动生成程序<b class='flag-5'>状态机</b>代码<b class='flag-5'>状态机</b>建模方法

    基于C语言的状态机实现方案

    关于状态机,基础的知识点可以自行理解。本文主要讲解的是一个有限状态机FSM通用的写法,目的在于更好理解,移植,节省代码阅读与调试时间,体现出编程之美。
    发表于 09-13 09:28 301次阅读
    基于C语言的<b class='flag-5'>状态机</b>实现方案

    三段式,四段式状态机设计方法是什么(状态机设计注意事项)

    有限状态机,简称状态机,通俗的说,就是把全部的情况分成几个场景,这些场景的工作方式明显不同。简单来说就是如下所示的状态转移图
    发表于 08-31 15:30 682次阅读
    三段式,四段式<b class='flag-5'>状态机</b>设计方法是什么(<b class='flag-5'>状态机</b>设计注意事项)

    一个基于事件驱动的有限状态机

    EFSM(event finite state machine,事件驱动型有限状态机),是一个基于事件驱动的有限状态机,主要应用于嵌入式设备的软件系统中。 EFSM的设计原则是:简单!EFSM的使用者只需要关心:
    的头像 发表于 08-30 09:28 491次阅读
    一个基于事件驱动的有限<b class='flag-5'>状态机</b>

    基于FPGA的状态机设计

    状态机的基础知识依然强烈推荐mooc上华科的数字电路与逻辑设计,yyds!但是数电基础一定要和实际应用结合起来,理论才能发挥真正的价值。我们知道FPGA是并行执行的,如果我们想要处理具有前后顺序的事件就需要引入状态机
    的头像 发表于 07-28 10:02 497次阅读
    基于FPGA的<b class='flag-5'>状态机</b>设计

    如何在FPGA中实现状态机

    状态机往往是FPGA 开发的主力。选择合适的架构和实现方法将确保您获得一款最佳解决方案。 FPGA 常常用于执行基于序列和控制的行动, 比如实现一个简单的通信协议。对于设计人员来说,满足这些行动
    的头像 发表于 07-18 16:05 595次阅读
    如何在FPGA中实现<b class='flag-5'>状态机</b>

    状态机编程实例-状态表法

    上篇文章,使用嵌套switch-case法的状态机编程,实现了一个炸弹拆除小游戏。本篇,继续介绍状态机编程的第二种方法:状态表法,来实现炸弹拆除小游戏的状态机编程。
    的头像 发表于 06-20 09:05 1260次阅读
    <b class='flag-5'>状态机</b>编程实例-<b class='flag-5'>状态</b>表法

    Verilog状态机的类型

    有限状态机(Finite-State Machine,FSM),简称状态机,是表示有限个状态以及在这些状态之间的转移和动作等行为的数学模型。
    的头像 发表于 06-01 15:23 1318次阅读
    Verilog<b class='flag-5'>状态机</b>的类型