0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

CAN、I2S、I2C、SPI、SSP总线有什么差异?

454398 来源:alpha007 作者:alpha007 2022-12-08 18:29 次阅读

一、SPI 总线

串行外围设备接口 SPI(serial peripheral interface)总线技术是 Motorola 公司推出的一种同步串行接口,Motorola 公司生产的绝大多数 MCU微控制器)都配有 SPI 硬件接口,如 68 系列 MCU。SPI 用于 CPU 与各种外围器件进行全双工、同步串行通讯。SPI 可以同时发出和接收串行数据。它只需四条线就可以完成 MCU 与各种外围器件的通讯,这四条线是:串行时钟线(CSK)、主机输入 / 从机输出数据线(MISO)、主机输出 / 从机输入数据线(MOSI)、低电平有效从机选择线 CS。这些外围器件可以是简单的 TTL 移位寄存器,复杂的 LCD 显示驱动器,A/D、D/A 转换子系统或其他的 MCU。当 SPI 工作时,在移位寄存器中的数据逐位从输出引脚(MOSI)输出(高位在前),同时从输入引脚(MISO)接收的数据逐位移到移位寄存器(高位在前)。发送一个字节后,从另一个外围器件接收的字节数据进入移位寄存器中。主 SPI 的时钟信号(SCK)使传输同步。

SPI 主要特点有: 可以同时发出和接收串行数据;
• 可以当作主机或从机工作;
• 提供频率可编程时钟;
• 发送结束中断标志;
• 写冲突保护;
• 总线竞争保护等。

SPI 模块为了和外设进行数据交换,根据外设工作要求,其输出串行同步时钟极性和相位可以进行配置,时钟极性(CPOL)对传输协议没有重大的影响。如果 CPOL=0,串行同步时钟的空闲状态为低电平;如果 CPOL=1,串行同步时钟的空闲状态为高电平。时钟相位(CPHA)能够配置用于选择两种不同的传输协议之一进行数据传输。如果 CPHA=0,在串行同步时钟的第一个跳变沿(上升或下降)数据被采样;如果 CPHA=1,在串行同步时钟的第二个跳变沿(上升或下降)数据被采样。SPI 主模块和与之通信的外设音时钟相位和极性应该一致。


二、CAN 总线

什么是 CAN 总线?

CAN 全称为 Controller Area Network,即控制器局域网,由德国 Bosch 公司最先提出,是国际上应用最广泛的现场总线之一。CAN 是一种多主方式的串行通讯总线,基本设计规范要求有高的位速率、高抗电磁干扰性,而且要能够检测出总线的任何错误。当信号传输距离达 10Km 时 CAN 仍可提供高达 50Kbit/s 的数据传输速率。

CAN 具有十分优越的特点:
A、较低的成本与极高的总线利用率;
B、 数据传输距离可长达 10Km,传输速率可高达 1Mbit/s;
C、可靠的错误处理和检错机制,发送的信息遭到破坏后可自动重发;
D、节点在错误严重的情况下具有自动退出总线的功能;
E、报文不包含源地址或目标地址仅用标志符来指示功能信息和优先级信息;

由于人为、自然、其它外界环境的影响和人们对公交系统的安全可靠性、真实、实时性的追求,使得我们对通信方式,通信设备有了更高的要求,基于 CAN 总线的网络则成为我们最佳的选择

CAN 总线

现场总线是当今自动化领域技术发展的热点之一,被誉为自动化领域的计算机局域网。它的出现为分布式控制系统实现各节点之间实时、可靠的数据通信提供了强有力的技术支持。CAN(Controller Area Network)属于现场总线的范畴,它是一种有效支持分布式控制或实时控制的串行通信网络。较之目前许多 RS-485 基于 R 线构建的分布式控制系统而言。

基于 CAN 总线的分布式控制系统在以下方面具有明显的优越性:
首先,CAN 控制器工作于多主方式,网络中的各节点都可根据总线访问优先权(取决于报文标识符)采用无损结构的逐位仲裁的方式竞争向总线发送数据,且 CAN 协议废除了站地址编码,而代之以对通信数据进行编码,这可使不同的节点同时接收到相同的数据,这些特点使得 CAN 总线构成的网络各节点之间的数据通信实时性强,并且容易构成冗余结构,提高系统的可靠性和系统的灵活性。而利用 RS-485 只能构成主从式结构系统,通信方式也只能以主站轮询的方式进行,系统的实时性、可靠性较差;

其次,CAN 总线通过 CAN 控制器接口芯片 82C250 的两个输出端 CANH 和 CANL 与物理总线相连,而 CANH 端的状态只能是高电平或悬浮状态,CANL 端只能是低电平或悬浮状态。这就保证不会出现象在 RS-485 网络中,当系统有错误,出现多节点同时向总线发送数据时,导致总线呈现短路,从而损坏某些节点的现象。而且 CAN 节点在错误严重的情况下具有自动关闭输出功能,以使总线上其他节点的操作不受影响,从而保证不会出现象在网络中,因个别节点出现问题,使得总线处于“死锁”状态。

而且,CAN 具有的完善的通信协议可由 CAN 控制器芯片及其接口芯片来实现,从而大大降低系统开发难度,缩短了开发周期,这些是只仅仅有电气协议的 RS-485 所无法比拟的。另外,与其它现场总线比较而言,CAN 总线是具有通信速率高、容易实现、且性价比高等诸多特点的一种已形成国际标准的现场总线。这些也是目前 CAN 总线应用于众多领域,具有强劲的市场竞争力的重要原因。

CAN (Controller Area Network)即控制器局域网络,属于工业现场总线的范畴。与一般的通信总线相比,CAN 总线的数据通信具有突出的可靠性、实时性和灵活性。由于其良好的性能及独特的设计,CAN 总线越来越受到人们的重视。它在汽车领域上的应用是最广泛的,世界上一些著名的汽车制造厂商,如 BENZ(奔驰)、BMW(宝马)、PORSCHE(保时捷)、ROLLS-ROYCE(劳斯莱斯)和 JAGUAR(美洲豹)等都采用了 CAN 总线来实现汽车内部控制系统与各检测和执行机构间的数据通信。同时,由于 CAN 总线本身的特点,其应用范围目前已不再局限于汽车行业,而向自动控制、航空航天、航海、过程工业、机械工业、纺织机械、农用机械、机器人数控机床、医疗器械及传感器等领域发展。CAN 已经形成国际标准,并已被公认为几种最有前途的现场总线之一。其典型的应用协议有:SAE J1939/ISO11783、CANOpen、CANaerospace、DeviceNet、NMEA 2000 等。

1 . 什么是 CAN 总线?
CAN 意为 Controller Area Network 的缩写,意为控制区域网络。是国际上流行的现场总线中的一种。是一种特别适合于组建互连的设备网络系统或子系统。

2.CAN 总线特点?
CAN 是到目前为止为数不多的有国际标准的现场总线
CAN 通讯距离最大是 10 公里(设速率为 5Kbps),或最大通信速率为 1Mbps(设通信距离为 40 米)。
CAN 总线上的节点数可达 110 个。通信介质可在双绞线,同轴电缆,光纤中选择。
CAN 采用非破坏性的总线仲裁技术,当多个节点同时发送数据时,优先级低的节点会主动退出发送,高优先级的节点可继续发送,节省总线仲裁时间。
CAN 是多主方式工作,网上的任一节点均可在任意时刻主动地向网络上其他节点发送信息。
CAN 采用报文识别符识别网络上的节点,从而把节点分成不同的优先级,高优先级的节点享有传送报文的优先权。
报文是短帧结构,短的传送时间使其受干扰概率低,CAN 有很好的效验机制,这些都保证了 CAN 通信的可靠性。

3.CAN 总线应用领域
CAN 总线最初是德国 BOSCH 为汽车行业的监测,控制而设计的。现已应用到铁路、交通、国防、工程、工业机械、纺织、农用机械、数控、医疗器械机器人、楼宇、安防等方面。

三、I2C(Inter-Integrated Circuit)总线

I2C(Inter-Integrated Circuit)总线是一种由 PHILIPS 公司开发的两线式串行总线,用于连接微控制器及其外围设备。I2C 总线产生于在 80 年代,最初为音频视频设备开发,如今主要在服务器管理中使用,其中包括单个组件状态的通信。例如管理员可对各个组件进行查询,以管理系统的配置或掌握组件的功能状态,如电源和系统风扇。可随时监控内存、硬盘、网络、系统温度等多个参数,增加了系统的安全性,方便了管理。

1、I2C 总线特点
I2C 总线最主要的优点是其简单性和有效性。由于接口直接在组件之上,因此 I2C 总线占用的空间非常小,减少了电路板的空间和芯片管脚的数量,降低了互联成本。总线的长度可高达 25 英尺,并且能够以 10Kbps 的最大传输速率支持 40 个组件。I2C 总线的另一个优点是,它支持多主控(multimastering), 其中任何能够进行发送和接收的设备都可以成为主总线。一个主控能够控制信号的传输和时钟频率。当然,在任何时间点上只能有一个主控。

2、I2C 总线工作原理
2.1、总线的构成及信号类型
I2C 总线是由数据线 SDA 和时钟 SCL 构成的串行总线,可发送和接收数据。在 CPU 与被控 IC 之间、IC 与 IC 之间进行双向传送,最高传送速率 100kbps。各种被控制电路均并联在这条总线上,但就像电话机一样只有拨通各自的号码才能工作,所以每个电路和模块都有唯一的地址,在信息的传输过程中,I2C 总线上并接的每一模块电路既是主控器(或被控器),又是发送器(或接收器),这取决于它所要完成的功能。CPU 发出的控制信号分为地址码和控制量两部分,地址码用来选址,即接通需要控制的电路,确定控制的种类;控制量决定该调整的类别(如对比度、亮度等)及需要调整的量。这样,各控制电路虽然挂在同一条总线上,却彼此独立,互不相关。

I2C 总线在传送数据过程中共有三种类型信号, 它们分别是:开始信号、结束信号和应答信号。
开始信号:SCL 为高电平时,SDA 由高电平向低电平跳变,开始传送数据。
结束信号:SCL 为低电平时,SDA 由低电平向高电平跳变,结束传送数据。
应答信号:接收数据的 IC 在接收到 8bit 数据后,向发送数据的 IC 发出特定的低电平脉冲,表示已收到数据。CPU 向受控单元发出一个信号后,等待受控单元发出一个应答信号,CPU 接收到应答信号后,根据实际情况作出是否继续传递信号的判断。若未收到应答信号,由判断为受控单元出现故障。

目前有很多半导体集成电路上都集成了 I2C 接口。带有 I2C 接口的单片机有:CYGNAL 的 C8051F0XX 系列,PHILIPSP87LPC7XX 系列,MICROCHIPPIC16C6XX 系列等。很多外围器件如存储器、监控芯片等也提供 I2C 接口。

3、总线基本操作
I2C 规程运用主 / 从双向通讯。器件发送数据到总线上,则定义为发送器,器件接收数据则定义为接收器。主器件和从器件都可以工作于接收和发送状态。总线必须由主器件(通常为微控制器)控制,主器件产生串行时钟(SCL)控制总线的传输方向,并产生起始和停止条件。SDA 线上的数据状态仅在 SCL 为低电平的期间才能改变,SCL 为高电平的期间,SDA 状态的改变被用来表示起始和停止条件。

3.1、控制字节
在起始条件之后,必须是器件的控制字节,其中高四位为器件类型识别符(不同的芯片类型有不同的定义,EEPROM 一般应为 1010),接着三位为片选,最后一位为读写位,当为 1 时为读操作,为 0 时为写操作。

3.2、写操作
写操作分为字节写和页面写两种操作,对于页面写根据芯片的一次装载的字节不同有所不同。

3.3、读操作
读操作有三种基本操作:当前地址读、随机读和顺序读应当注意的是:最后一个读操作的第 9 个时钟周期不是“不关心”。为了结束读操作,主机必须在第 9 个周期间发出停止条件或者在第 9 个时钟周期内保持 SDA 为高电平、然后发出停止条件。

在 I2C 总线的应用中应注意的事项总结为以下几点 :
1)、严格按照时序图的要求进行操作,
2)、若与口线上带内部上拉电阻的单片机接口连接,可以不外加上拉电阻。
3)、程序中为配合相应的传输速率,在对口线操作的指令后可用 NOP 指令加一定的延时。
4)、为了减少意外的干扰信号将 EEPROM 内的数据改写可用外部写保护引脚(如果有),或者在 EEPROM 内部没有用的空间写入标志字,每次上电时或复位时做一次检测,判断 EEPROM 是否被意外改写。

添加:I2C 总线
在现代电子系统中,有为数众多的 IC 需要进行相互之间以及与外界的通信。为了提供硬件的效率和简化电路的设计,PHILIPS 开发了一种用于内部 IC 控制的简单的双向两线串行总线 I2C(inter IC 总线)。I2C 总线支持任何一种 IC 制造工艺,并且 PHILIPS 和其他厂商提供了种类非常丰富的 I2C 兼容芯片。作为一个专利的控制总线,I2C 已经成为世界性的工业标准。

每个 I2C 器件都有一个唯一的地址,而且可以是单接收的器件(例如:LCD 驱动器)或者可以接收也可以发送的器件(例如:存储器)。发送器或接收器可以在主模式或从模式下操作,这取决于芯片是否必须启动数据的传输还是仅仅被寻址。I2C 是一个多主总线,即它可以由多个连接的器件控制。

早期的 I2C 总线数据传输速率最高为 100Kbits/s,采用 7 位寻址。但是由于数据传输速率和应用功能的迅速增加,I2C 总线也增强为快速模式(400Kbits/s)和 10 位寻址以满足更高速度和更大寻址空间的需求。

I2C 总线始终和先进技术保持同步,但仍然保持其向下兼容性。并且最近还增加了高速模式,其速度可达 3.4Mbits/s。它使得 I2C 总线能够支持现有以及将来的高速串行传输应用,例如 EEPROM 和 Flash 存储器。

四、I2S 总线

I2S 有 3 个主要信号:
1、串行时钟 SCLK,也叫位时钟(BCLK),即对应数字音频的每一位数据,SCLK 都有 1 个脉冲。SCLK 的频率=2×采样频率×采样位数

2、帧时钟 LRCK,用于切换左右声道的数据。LRCK 为“1”表示正在传输的是左声道的数据,为“0”则表示正在传输的是右声道的数据。LRCK 的频率等于采样频率。

3、串行数据 SDATA,就是用二进制补码表示的音频数据。I2S(Inter-IC Sound Bus)是飞利浦公司为数字音频设备之间的音频数据传输而制定的一种总线标准。在飞利浦公司的 I2S 标准中,既规定了硬件接口规范,也规定了数字音频数据的格式。

I2S 有 3 个主要信号:

1. 串行时钟 SCLK,也叫位时钟(BCLK),即对应数字音频的每一位数据,SCLK 都有 1 个脉冲。SCLK 的频率=2×采样频率×采样位数

2. 帧时钟 LRCK,用于切换左右声道的数据。LRCK 为“1”表示正在传输的是左声道的数据,为“0”则表示正在传输的是右声道的数据。LRCK 的频率等于采样频率。3. 串行数据 SDATA,就是用二进制补码表示的音频数据。
有时为了使系统间能够更好地同步,还需要另外传输一个信号 MCLK,称为主时钟,也叫系统时钟(Sys Clock),是采样频率的 256 倍或 384 倍。

I2S 格式的信号无论有多少位有效数据,数据的最高位总是出现在 LRCK 变化(也就是一帧开始)后的第 2 个 SCLK 脉冲处。这就使得接收端与发送端的有效位数可以不同。如果接收端能处理的有效位数少于发送端,可以放弃数据帧中多余的低位数据;如果接收端能处理的有效位数多于发送端,可以自行补足剩余的位。这种同步机制使得数字音频设备的互连更加方便,而且不会造成数据错位。

随着技术的发展,在统一的 I2S 接口下,出现了多种不同的数据格式。根据 SDATA 数据相对于 LRCK 和 SCLK 的位置不同,分为左对齐(较少使用)、I2S 格式(即飞利浦规定的格式)和右对齐(也叫日本格式、普通格式)。

为了保证数字音频信号的正确传输,发送端和接收端应该采用相同的数据格式和长度。当然,对 I2S 格式来说数据长度可以不同。

五、SSP 总线

SSP 总线兼容 SPI,SSI 和 Microwire 总线的接口。

审核编辑黄昊宇

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 总线
    +关注

    关注

    10

    文章

    2706

    浏览量

    87216
收藏 人收藏

    评论

    相关推荐

    最简单的总线通讯!I2C通讯总线的原理原来这么好懂

    通讯I2C
    安泰仪器维修
    发布于 :2024年01月02日 11:16:31

    twi和i2c总线什么区别?

    twi和i2c总线什么区别,他们谁是不是兼容谁
    发表于 11-08 07:45

    AT32F423 I2C使用指南

    AT32 的 I2C 总线接口用于处理微控制器和串行 I2C 总线之间的通信,支持主机和从机模式,支持唤醒深睡眠模式,最大通信速度为 1Mbit/s
    发表于 10-26 06:33

    AT32 MCU SPI/I2S入门指南

    SPI(Serial Peripheral interface)是一种由 Motorola 最先推出的同步串行传输协议。SPI 是一种高速、全双工、同步的通信总线,使用简单高效。I2S
    发表于 10-25 08:26

    I2SI2C这两个协议什么不同的地方?

    为什么常用的就是I2C
    发表于 10-12 07:33

    CANI2SI2CSPISSP总线简介

    串行外围设备接口SPI(serial peripheral interface)总线技术是Motorola公司推出的一种同步串行接口,Motorola公司生产的绝大多数MCU(微控制器)都配有SPI
    发表于 09-27 06:58

    I2C总线的使用与编程

    I2C总线是飞利浦公司在20世纪80年代初由S定义的一种二线制总线系统。I2C总线是一种双向的,
    发表于 09-04 07:23

    全面掌握面试必考题I2C协议 - 第12节

    I2C协议
    充八万
    发布于 :2023年09月01日 19:07:43

    全面掌握面试必考题I2C协议 - 第11节

    I2C协议
    充八万
    发布于 :2023年09月01日 19:06:53

    全面掌握面试必考题I2C协议 - 第10节

    I2C协议
    充八万
    发布于 :2023年09月01日 19:06:03

    全面掌握面试必考题I2C协议 - 第6节

    I2C协议
    充八万
    发布于 :2023年09月01日 19:02:42

    80 第23.1讲 I2C实验-I2C协议和6U的I2C控制器详解 - 第4节 #硬声创作季

    程序代码I2C协议
    充八万
    发布于 :2023年08月17日 10:05:14

    80 第23.1讲 I2C实验-I2C协议和6U的I2C控制器详解 - 第2

    程序代码I2C协议
    充八万
    发布于 :2023年08月17日 10:03:33

    基于 FPGA 的模拟 I2C协议系统设计

    控制总线暂停。 2总线上的时序信号I2C 总线为同步传输总线
    发表于 08-14 18:21