0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

数字倍频电路原理图

454398 来源:博客园 作者: poiu_elab 2020-11-09 14:53 次阅读

一个数字倍频电路

这个就是原理图,奉上代码

 1 /////////////////////////////////////////////////////////////////////////////
 2 //  DATE    :   Wed Jun  6 22:58:17 CST 2012
 3 /////////////////////////////////////////////////////////////////////////////
 4 module clk_mul(
 5     input   wire            clk
 6 ,   input   wire            rst_n
 7 ,   output  wire            clk_out
 8 );
 9 //////////////////////////////////////////////////////////////////////////////
10 // variable declaration
11 reg     temp_mul    ;
12 //////////////////////////////////////////////////////////////////////////////
13 // logic
14 always @(posedge clk_out or negedge rst_n) begin
15     if(~rst_n)                      temp_mul    <=  1'b0            ;
16     else                            temp_mul    <=  #2 ~temp_mul    ;
17 end
18 assign  clk_out =   ~(clk ^ ~temp_mul)  ;
19 //////////////////////////////////////////////////////////////////////////////
20 
21 endmodule   //          CREATED by poiu_elab@1207
22 
23 //////////////////////////////////////////////////////////////////////////////

这个东西很简单的,但是要注意,它的核心是第16行的#2,这里的延时(占空比)可以通过电路的Tco和经过反相器的时间来搞定(其中可以插入一些buffer来调节时间),testbench这么来写(很简单,但是便于下面解释延时对占空比的影响还是附上)。

 1 ///////////////////////////////////////////////////////////////////////////////
 2 //  DATE    :   Wed Jun  6 23:00:31 CST 2012
 3 ///////////////////////////////////////////////////////////////////////////////
 4 `define CLK_CYCLE   20
 5 module tb();
 6 ///////////////////////////////////////////////////////////////////////////////
 7 // variable declaration
 8 reg             clk         ;
 9 reg             rst_n       ;
10 wire            clk_out     ;
11 ///////////////////////////////////////////////////////////////////////////////
12 // stimulation generation
13 initial forever #(`CLK_CYCLE/2) clk = ~clk;
14 initial begin
15     rst_n           =   1'b0            ;
16     clk             =   1'b1            ;
17 #500;
18     rst_n           =   1'b1            ;
19 #5000;
20 $stop;
21 end
22 ///////////////////////////////////////////////////////////////////////////////
23 // module instaniation
24 clk_mul u_clk_mul(
25     .clk        (   clk     )
26 ,   .rst_n      (   rst_n   )
27 ,   .clk_out    (   clk_out )
28 );
29 ///////////////////////////////////////////////////////////////////////////////
30 
31 endmodule   //          CREATED by poiu_elab@1207
32 
33 ///////////////////////////////////////////////////////////////////////////////

下面给出仿真图,当#2的时候,是这样的,其中你要关心的其实是~temp_mul & clk, 当你要是q端经过反相器的信号与接入的clk信号相同的时候你的clk_out就会起来,之后你的q端翻转了的话,你的clk_out就会落下来,这时候在下一个clk翻转的时候,你的~temp_mul & clk就会又要把clk_out拉起来,q端又翻转,以此类推,就可以继续在每个clk的跳变沿出现你的clk_out的高电平,调整你的Tco和反相器延时就可以调整你的高电平时间,由于周期又是固定的,这样就可以调整你的占空比。

而当#5的时候,是这样的

看出什么端倪了没,当你的延时,正好是时钟周期的1/4的时候,你就可以得到一个占空比是50%的2倍频时钟。

编辑:hfy

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 反相器
    +关注

    关注

    6

    文章

    240

    浏览量

    42706
  • 倍频电路
    +关注

    关注

    4

    文章

    12

    浏览量

    32231
收藏 人收藏

    评论

    相关推荐

    遥控数字钟PCB电路图与SCH原理图

    遥控数字钟PCB电路图与SCH原理图  SCH (Synchronization Channel)   在FCCH解码后,MS接着要解出SCH信道消息,解码所得的信息给出了MS需要同步的所有消息及该
    发表于 12-07 11:10

    数字式温度测量电路设计原理图

    本人要毕业设计,求哪位好心给我发一份数字式温度测量电路设计原理图,如果可以最好是附带程序!越详细越好··不胜感激!
    发表于 04-28 23:49

    电路图数字无线接收电路原理图

    电路图数字无线接收电路原理图
    发表于 07-31 22:51

    数字无线发射电路原理图

    ` 本帖最后由 gk320830 于 2015-3-5 07:03 编辑 数字无线发射电路原理图`
    发表于 07-31 22:53

    数字频率计电路原理图

    哪位大神有能用数字电路原理图啊,没有错误,你能够仿真出结果的 发给我一份好吗
    发表于 12-18 15:07

    基于DSP28335数字电路原理图有偿请求原理详述

    基于DSP28335数字电路原理图有偿请求原理详述这里有几张基于DSP28335数字电路原理图,需要用文字进行体现,但对于电路设计得知识不熟
    发表于 07-20 10:59

    长虹倍频DT2000彩电电路

    长虹倍频DT2000彩色电视机电路图,长虹倍频DT2000彩电图纸,长虹倍频DT2000原理图
    发表于 05-08 15:10 29次下载
    长虹<b class='flag-5'>倍频</b>DT2000彩电<b class='flag-5'>电路</b>图

    单片数字锁相倍频电路的设计与实现

    采用AT89C2051 单片机设计了一种单片锁相倍频电路,利用片内定时器和数字算法实现了对输入信号的同步锁相和倍频,并输出倍频信号。实验结果
    发表于 09-14 15:04 80次下载

    高速数字倍频

    高速数字倍频
    发表于 04-11 10:18 738次阅读
    高速<b class='flag-5'>数字</b><b class='flag-5'>倍频</b>器

    数字倍频

    数字倍频
    发表于 04-11 10:25 1333次阅读
    <b class='flag-5'>数字</b><b class='flag-5'>倍频</b>器

    倍频电路

    倍频电路
    发表于 05-08 14:28 2728次阅读
    <b class='flag-5'>倍频</b><b class='flag-5'>电路</b>图

    倍频电路

    倍频电路
    发表于 07-14 17:09 3093次阅读
    <b class='flag-5'>倍频</b><b class='flag-5'>电路</b>图

    基于VHDL的数字倍频器设计

    绍了数字倍频电路的工作原理,分析了倍频器产生误差的原因,然后给出用VHDL语言来实现数字倍频器的
    发表于 12-07 13:47 70次下载
    基于VHDL的<b class='flag-5'>数字</b><b class='flag-5'>倍频</b>器设计

    码盘四倍频电路原理图免费下载

    本文档的主要内容详细介绍的是码盘四倍频电路原理图免费下载。
    发表于 10-25 11:04 20次下载
    码盘四<b class='flag-5'>倍频</b>的<b class='flag-5'>电路</b><b class='flag-5'>原理图</b>免费下载

    数字电路如何实现倍频

    数字电路如何实现倍频?  数字电路是由数字电子器件以及逻辑门电路组成,可以用于处理数字信号或
    的头像 发表于 09-18 10:37 3971次阅读