电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>可编程逻辑>HDL语言及源代码>Verilog HDL语言的文件调用问题:include使用方法介绍

Verilog HDL语言的文件调用问题:include使用方法介绍

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

Verilog HDL语言100例详解

Verilog HDL语言100例详解希望对大家有所帮助
2016-09-01 15:58:09

Verilog HDL语言是什么

嵌入式开发Verilog教程(二)——Verilog HDL设计方法概述前言一、Verilog HDL语言简介1.1 Verilog HDL语言是什么1.2前言在数字逻辑设计领域,迫切需要一种共同
2021-11-08 09:30:31

Verilog HDL语言有什么优越性

Verilog HDL语言有什么优越性Verilog HDL语言在FPGA/CPLD开发中的应用
2021-04-23 07:02:03

Verilog HDL语言编程基础与FPGA常用开发工具

关键字:Altera 、FPGA、软硬件协调设计(Verilog & C)、CPU、总线、外设FPGA硬件结构知识Verilog HDL语言编程基础FPGA常用开发工具 SOPC硬件系统开发SOPC软件系统开发Avalon总线规范Nios II外设及其编程 七段数码管时钟...
2021-12-22 08:06:06

Verilog HDL入门教程

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 174 Verilog HDL 基本语法
2017-12-08 14:39:50

Verilog HDL入门教程(全集)

本帖最后由 IC那些事儿 于 2020-11-30 19:05 编辑 Verilog HDL是一种硬件描述语言,用于从算法级、门级到开关级的多种抽象设计层次的数字系统建模。被建模的数字系统对象
2020-11-30 19:03:38

Verilog HDL教程(共172页pdf电子书下载)

前不久学FPGA,找到的verilog的教程 第1章 简 介 本章介绍Verilog HDL语言的发展历史和它的主要能力。 1.1什么是Verilog HDLVerilog HDL是一种硬件描述语言
2018-07-03 05:19:30

Verilog HDL的基本语法

Verilog HDL是一种用于数字逻辑电路设计的语言。用Verilog HDL描述的电路设计就是该电路的Verilog HDL模型。Verilog HDL既是一种行为描述的语言也是一种结构描述
2019-09-06 09:14:16

Verilog HDL硬件描述语言

Verilog HDL硬件描述语言
2013-01-13 14:40:20

Verilog HDL经典黑金资料(入门教程+实例精讲+百例设计)

设计方法学等方面的基本概念出发来研究和探讨用于数字信号处理等领域的复杂硬线逻辑电路的设计技术和方法。特别强调利用Verilog硬件描述语言的Top-Down设计方法介绍Verilog HDL电路设计指导书
2018-12-10 15:31:15

Verilog HDL详细资料合集!

本合集资料包括:1.Verilog HDL程序设计实例详解2.Verilog HDL经典教程3.Verilog HDL实验练习与语法手册4.Verilog HDL硬件描述语言
2020-08-21 10:06:20

Verilog HDL高级数字设计2

本帖最后由 lee_st 于 2017-11-30 12:35 编辑 讲授内容EDA设计方法学;Verilog HDL语言;数字电路与系统设计;高级描述语言及工具使用;
2017-11-30 12:33:55

Verilog HDL高级数字设计3

讲授内容EDA设计方法学;Verilog HDL语言;数字电路与系统设计;高级描述语言及工具使用;
2017-11-30 12:36:07

Verilog HDL高级数字设计4

讲授内容EDA设计方法学;Verilog HDL语言;数字电路与系统设计;高级描述语言及工具使用;
2017-11-30 12:38:44

Verilog HDL高级数字设计5

本帖最后由 lee_st 于 2017-11-30 12:42 编辑 讲授内容EDA设计方法学;Verilog HDL语言;数字电路与系统设计;高级描述语言及工具使用;
2017-11-30 12:41:35

Verilog HDL高级数字设计6

讲授内容EDA设计方法学;Verilog HDL语言;数字电路与系统设计;高级描述语言及工具使用;
2017-11-30 12:44:09

Verilog HDL高级数字设计7

讲授内容EDA设计方法学;Verilog HDL语言;数字电路与系统设计;高级描述语言及工具使用;
2017-11-30 12:46:17

Verilog HDL高级数字设计8

讲授内容EDA设计方法学;Verilog HDL语言;数字电路与系统设计;高级描述语言及工具使用;
2017-11-30 12:48:48

Verilog hdl程序

谁能用简洁易懂的语言告诉我,Verilog hdl密勒解码器的原理,目的等等
2014-11-04 17:55:16

Verilog_HDL硬件描述语言

Verilog_HDL硬件描述语言 FPGA的资料
2013-02-26 14:03:42

verilog+hdl硬件描述语言

verilog+hdl硬件描述语言 初学者的福音 帮助广大初学者步入此行
2013-08-12 23:47:12

介绍示波器的特殊使用方法

本文介绍了示波器的特殊使用方法
2021-05-06 10:36:05

[下载]cpld\fpga\verilog hdl视频教程

基础(PDF、视频、课后习题)第4讲:Verilog HDL中的组合逻辑设计方法(PDF、视频)第5讲:ModelSim软件使用方法和技巧(视频)第6讲、Sopc硬件系统(视频)第7讲、sopc软件系统(视频
2009-03-26 16:37:40

[推荐]cpld\fpga\verilog hdl视频教程

教程目录: 入门篇:第1讲、FPGA设计基础(PDF、视频)第2讲、FPGA设计入门(视频、课后习题)第3讲、VerilogHDL基础(PDF、视频、课后习题)第4讲:Verilog HDL中的组合
2009-03-09 22:56:25

【FPGA学习】Verilog HDL有哪些特点

Verilog HDL 的特点Verilog HDL 语言不仅定义了语法,而且对每个语法结构都定义了清晰的模拟、仿真语义。使用这种语言编写的模型可以方便地使用 Verilog 仿真器进行验证
2018-09-18 09:33:31

【特权同学推荐】Verilog HDL语法学习教程及135个设计实例

本资料主要介绍Verilog HDL 语言的基本知识、设计指导以及相关案例,目的是使初学者能够迅速掌握HDL 设计方法,了解并掌握Verilog HDL语言的基本要素,能够读懂设计代码并进
2019-11-26 11:27:34

如何用Verilog HDL语言描述D型主从触发器模块

Verilog模型有哪几种?Verilog HDL模型是由哪些模块构成的?如何用Verilog HDL语言描述D型主从触发器模块?
2021-10-19 08:36:32

已结束-【盖楼送书NO.10】Verilog HDL与FPGA数字系统设计 第2版

HDL在ASIC设计领域占有重要的地位,并且它是在C语言的基础上发展起来的,语法较自由,易学易用,因此本书选取Verilog HDL进行电路设计。同时,本书还介绍了ModelSim软件
2022-04-19 14:40:04

求基于fpga的verilog HDL语言的红外遥控系统设计的完整程序

verilog HDL语言
2017-06-06 23:43:36

X-HDL v3.2.55 VHDL/Verilog语言翻译器

X-HDL:软件简介—SoftWare Description: X-HDL v3.2.55 VHDL/Verilog语言翻译器 一款VHDL/Verilog语言翻译器。可实现VHDL和Verilog语言的相互智能化转化。这分别是windows、linux、solaris版本。
2006-03-25 12:00:47355

Verilog HDL Synthesis (A Pract

Verilog HDL Synthesis (A Practical Primer)
2009-02-12 09:36:2440

Verilog HDL综合实用教程

Verilog HDL 综合实用教程第1章 基础知识第2章 从Verilog结构到逻辑门第3章 建模示例第4章 模型的优化第5章 验证附录A 可综合的语言结构附录B 通用库
2009-07-20 11:21:1386

VERILOG HDL硬件描述语言

本书简要介绍Verilog硬件描述语言的基础知识,包括语言的基本内容和基本结构 ,以及利用该语言在各种层次上对数字系统的建模方法。书中列举了大量实例,帮助读者掌握语言
2009-07-20 11:36:350

基于Verilog HDL设计的多功能数字钟

本文利用Verilog HDL 语言自顶向下的设计方法设计多功能数字钟,突出了其作为硬件描述语言的良好的可读性、可移植性和易理解等优点,并通过Altera QuartusⅡ 4.1 和ModelSim SE 6.0 完成综
2009-08-05 16:40:28642

基于Verilog HDL语言的FPGA设计

采用 Verilog HDL 语言在Altera 公司的FPGA 芯片上实现了RISC_CPU 的关键部件状态控制器的设计,以及在与其它各种数字逻辑设计方法的比较下,显示出使用Verilog HDL语言的优越性.关键词
2009-08-21 10:50:0569

Verilog-HDL实践与应用系统设计

Verilog-HDL实践与应用系统设计本书从实用的角度介绍了硬件描述语言Verilog-HDL。通过动手实践,体验Verilog-HDL的语法结构、功能等内涵。在前五章,以简单的实例列举了Verilog-HDL的用法;
2009-11-14 22:57:40146

Verilog HDL华为入门教程

Verilog HDL 华为入门教程 本文主要介绍Verilog HDL 语言的一些基本知识,目的是使初学者能够迅速掌握HDL设计方法,初步了解并掌握Verilog HDL语言的基本要素,能
2010-02-11 08:35:38140

Verilog HDL入门教程(华为绝密资料)

Verilog HDL入门教程(华为绝密资料) 本文主要介绍Verilog HDL 语言的一些基本知识,目的是使初学者能够迅速掌握HDL设计方法,初步了解并掌握Verilog HDL语言
2010-04-02 11:52:210

Verilog HDL硬件描述语言【书籍

本书简要介绍Verilog 硬件描述语言的基础知识,包括语言的基本内容和基本结构,以及利用该语言在各种层次上对数字系统的建模方法。书中列举了大量实例,帮助读者掌握
2010-07-02 14:55:51124

什么是Verilog HDL

什么是Verilog HDLVerilog HDL是一种硬件描述语言,用于从算法级、门级到开关级的多种抽象设计层次的数字系统建模。被建模的数字系统
2009-01-18 14:53:263678

Verilog HDL语言在FPGA/CPLD开发中的应用

摘 要:通过设计实例详细介绍了用Verilog HDL语言开发FPGA/CPLD的方法,并通过与其他各种输入方式的比较,显示出使用Verilog HDL语言的优越性。
2009-06-20 11:51:281857

Verilog HDL程序基本结构与程序入门

Verilog HDL程序基本结构与程序入门 Verilog HDL程序基本结构  Verilog HDL是一种用于数字逻辑电路设计的语言。用Verilog HDL描述的
2010-02-08 11:43:302185

Verilog HDL语言实现时序逻辑电路

Verilog HDL语言实现时序逻辑电路 在Verilog HDL语言中,时序逻辑电路使用always语句块来实现。例如,实现一个带有异步复位信号的D触发器
2010-02-08 11:46:434468

Verilog HDL语言简介

Verilog HDL语言简介 1.什么是Verilog HDLVerilog HDL是硬件描述语言的一种,用于数
2010-02-09 08:59:333609

VHDL和Verilog HDL语言对比

VHDL和Verilog HDL语言对比 Verilog HDL和VHDL都是用于逻辑设计的硬件描述语言,并且都已成为IEEE标准。VHDL是在1987年成为IEEE标准,Verilog HDL
2010-02-09 09:01:1710317

Verilog HDL与VHDL及FPGA的比较分析

Verilog HDL与VHDL及FPGA的比较分析. Verilog HDL优点:类似C语言,上手容易,灵活。大小写敏感。在写激励和建模方面有优势。
2011-01-11 10:45:291182

PLD/FPGA硬件语言设计verilog HDL

在我国使用Verilog HDL的公司比使用VHDL的公司多。从EDA技术的发展上看,已出现用于CPLD/FPGA设计的硬件C语言编译软件,虽然还不成熟,应用极少,但它有可能会成为继VHDL和Verilog之后,设计大规模CPLD/FPGA的又一种手段。
2011-03-12 11:21:201686

Verilog HDL程序设计教程_王金明

Verilog HDL 程序设计教程》对Verilog HDL程序设计作了系统全面的介绍,以可综合的设计为重点,同时对仿真和模拟也作了深入的阐述。《Verilog HDL 程序设计教程》以Verilog-1995标准为基础
2011-09-22 15:53:360

基于Verilog HDL语言的CAN总线控制器设计及验证

在此利用Verilog HDL设计了一款CAN总线控制器,首先根据协议把整个CAN总线控制器划分为接口逻辑管理、寄存器逻辑和CAN核心模块3个模块,然后用Verilog HDL硬件描述语言设计了各个功能模块
2012-07-31 14:25:247806

Verilog_HDL的基本语法详解(夏宇闻版)

Verilog_HDL的基本语法详解(夏宇闻版):Verilog HDL是一种用于数字逻辑电路设计的语言。用Verilog HDL描述的电路设计就是该电路的Verilog HDL模型。Verilog HDL既是一种行为描述的语言也是一种结
2012-10-08 14:48:310

设计与验证Verilog HDL(吴继华)

本书以实例讲解的方式对HDL语言的设计方法进行介绍。全书共分9章,第1章至第3章主要介绍Verilog HDL语言的基本概念、设计流程、语法及建模方式等。
2012-11-28 13:32:57943

Verilog HDL 数字设计教程(贺敬凯)

Verilog HDL 数字设计教程【作者:贺敬凯;出版社:西安电子科技大学出版社】(本资料为ppt) 内容简介:介绍Verilog HDL语言,状态机设计,仿真,还有好几个可综合设计的举例,除了
2012-11-28 13:43:11489

Verilog HDL程序设计与实践

Verilog HDL程序设计与实践着重介绍Verilog HDL语言
2015-10-29 14:45:4721

Verilog HDL数字设计与综合课件(第二版)

介绍Verilog HDL数字设计与综合的课件
2015-12-23 10:58:540

Verilog HDL硬件描述语言

Verilog HDL硬件描述语言 有需要的下来看看
2015-12-29 15:31:270

Verilog HDL硬件描述语言简介

本章介绍Verilog HDL语言的发展历史和它的主要能力。verilog相关教程材料,有兴趣的同学可以下载学习
2016-04-25 16:09:3214

Verilog HDL硬件描述语言_Verilog语言要素

本章介绍Verilog HDL的基本要素,包括标识符、注释、数值、编译程序指令、系统任务和系统函数。另外,本章还介绍Verilog硬件描述语言中的两种数据类型。verilog相关教程材料,有兴趣的同学可以下载学习。
2016-04-25 16:09:3216

Verilog HDL硬件描述语言_验证

本章介绍了如何编写测试验证程序(test bench)。测试验证程序用于测试和验证设计的正确性。Verilog HDL提供强有力的结构来说明测试验证程序。verilog相关教程材料,有兴趣的同学可以下载学习
2016-04-25 16:09:3217

Verilog+HDL实用教程-电科

Verilog+HDL实用教程-电科,下来看看。
2016-05-11 17:30:1534

_Verilog_HDL的基本语法

Verilog_HDL语言的学习,为FPGA编程打下坚实的基础
2016-05-19 16:40:5212

Verilog HDL入门教程

本文主要介绍Verilog HDL 语言的一些基本知识,目的是使初学者能够迅速掌握HDL设计方法,初步了解并掌握Verilog HDL语言的基本要素,能够读懂简单的设计代码并能够进行一些简单设计的Verilog HDL建模。
2016-07-15 15:27:000

设计与验证:Verilog HDL(清晰PDF)

设计与验证,很不错的一本书,《设计与验证》以实例讲解的方式对HDL语言的设计方法进行介绍。全书共分9章,第1章至第3章主要介绍Verilog HDL语言的基本概念、设计流程、语法及建模方式等内容
2016-10-10 17:04:40566

Verilog HDL硬件描述语言

Verilog HDL硬件描述语言,感兴趣的小伙伴们可以瞧一瞧。
2016-11-11 11:20:1111

Verilog语言要素

本章介绍Verilog HDL的基本要素,包括标识符、注释、数值、编译程序指令、系统任务和系统函数。另外,本章还介绍Verilog硬件描述语言中的两种数据类型。 3.1 标识符
2017-02-11 17:01:071713

基于FPGA Verilog-HDL语言的串口设计

基于FPGA Verilog-HDL语言的串口设计
2017-02-16 00:08:5935

硬件描述语言Verilog HDL

详细介绍verilog语言,很容易看懂,并配有示例
2017-05-03 09:09:570

Verilog HDL入门教程之Verilog HDL数字系统设计教程

本文档的主要内容详细介绍的是Verilog HDL入门教程之Verilog HDL数字系统设计教程。
2018-09-20 15:51:2680

如何设计常用模块的Verilog HDL

本文档的主要内容详细介绍的是常用模块的Verilog HDL设计详细资料免费下载。
2018-10-16 11:12:5420

Verilog HDL数字设计与综合第二版电子教材免费下载

本书从用户的角度全面阐述了Verilog HDL语言的重要细节和基本设计方法,并详细介绍Verilog 2001版的主要改进部分。无论对于有经验的读者还是初学者本书都有很高的参考价值。本书重点关注
2018-12-24 08:00:000

Verilog HDL入门教程

本文主要介绍Verilog HDL 语言的一些基本知识,目的是使初学者能够迅速掌握HDL 设计方法,初步了解并掌握Verilog HDL语言的基本要素,能够读懂简单的设计代码并能够进行一些简单设计的Verilog HDL建模。
2019-02-11 08:00:0095

Verilog HDL作为现在最流行的FPGA开发语言 是入门的基础

Verilog HDL作为现在最流行的FPGA开发语言,当然是入门基础。
2019-02-18 14:47:0010320

Verilog语法基础

Verilog HDL是一种用于数字系统设计的语言。用Verilog HDL描述的电路设计就是该电路的Verilog HDL模型也称为模块。Verilog HDL既是一种行为描述的语言也是一种结构描述的语言
2019-03-08 14:29:1212094

Verilog HDL语言及VIVADO的应用

中国大学MOOC 本课程以目前流行的Xilinx 7系列FPGA的开发为主线,全面讲解FPGA的原理及电路设计、Verilog HDL语言及VIVADO的应用,并循序渐进地从组合逻辑、时序逻辑的开发开始,深入到FPGA的基础应用、综合应用和进阶应用。
2019-08-06 06:12:003450

数字设计FPGA应用:Verilog HDL语言基本结构

本课程以目前流行的Xilinx 7系列FPGA的开发为主线,全面讲解FPGA的原理及电路设计、Verilog HDL语言及VIVADO的应用,并循序渐进地从组合逻辑、时序逻辑的开发开始,深入到FPGA的基础应用、综合应用和进阶应用。
2019-12-02 07:10:002914

Verilog-HDL深入讲解

Verilog HDL是一种硬件描述语言,以文本形式来描述数字系统硬件的结构和行为的语言,用它可以表示逻辑电路图、逻辑表达式,还可以表示数字逻辑系统所完成的逻辑功能。 Verilog HDL和VHDL是世界上最流行的两种硬件描述语言,都是在20世纪80年代中期开发出来的。
2019-11-13 07:03:003029

Verilog HDL语言中的分支语句

Verilog HDL是一种硬件描述语言,以文本形式来描述数字系统硬件的结构和行为的语言,用它可以表示逻辑电路图、逻辑表达式,还可以表示数字逻辑系统所完成的逻辑功能。
2019-11-20 07:00:005088

Verilog HDL的基础知识详细说明

硬件描述语言基本语法和实践 (1)VHDL 和Verilog HDL的各自特点和应用范围 (2)Verilog HDL基本结构语言要素与语法规则 (3) Verilog HDL组合逻辑语句结构
2019-07-03 17:36:0053

Verilog HDL语言组合逻辑设计方法以及QuartusII软件的一些高级技巧

本文档的主要内容详细介绍的是Verilog HDL语言组合逻辑设计方法以及QuartusII软件的一些高级技巧。
2019-07-03 17:36:1219

快速理解Verilog语言

Verilog HDL简称Verilog,它是使用最广泛的硬件描述语言
2020-03-22 17:29:004357

实现Verilog HDL模块化程序设计的详细资料说明

电子技术设计的核心是EDA,目前,EDA技术的设计语言主要有Verilog HDL和VHDL两种,相对来说Verilog HDL语言相对简单,上手快,其语法风格与C语言类似,据统计,Verilog
2020-03-25 08:00:004

Verilog HDL和VHDL的区别

Verilog HDL是一种硬件描述语言,以文本形式来描述数字系统硬件的结构和行为的语言,用它可以表示逻辑电路图、逻辑表达式,还可以表示数字逻辑系统所完成的逻辑功能。 Verilog HDL
2020-06-17 16:13:1112911

常用的hdl语言有哪两种

Verilog HDL和VHDL是目前两种最常用的硬件描述语言,同时也都是IEEE标准化的HDL语言
2020-08-25 09:14:348605

Verilog HDL语言技术要点

的是硬件描述语言。最为流行的硬件描述语言有两种Verilog HDL/VHDL,均为IEEE标准。Verilog HDL具有C语言基础就很容易上手,而VHDL语言则需要Ada编程基础。另外Verilog
2020-09-01 11:47:094002

使用verilog HDL实现状态机8位流水灯的程序和工程文件免费下载

本文档的主要内容详细介绍的是使用verilog HDL实现状态机8位流水灯的程序和工程文件免费下载。
2020-10-16 16:20:2523

Verilog教程之Verilog HDL数字集成电路设计方法和基础知识课件

本文档的主要内容详细介绍的是Verilog教程之Verilog HDL数字集成电路设计方法和基础知识课件
2020-12-09 11:24:1952

Verilog教程之Verilog HDL程序设计语句和描述方式

本文档的主要内容详细介绍的是Verilog教程之Verilog HDL程序设计语句和描述方式。
2020-12-09 11:24:2346

华为Verilog HDL入门教程的PDF电子书免费下载

本文主要介绍Verilog HDL 语言的一些基本知识,目的是使初学者能够迅速掌握HDL设计方法,初步了解并掌握Verilog HDL语言的基本要素,能够读懂简单的设计代码并能够进行一些简单设计的语言的基本要素,能够读懂简单的设计代码并能够进行一些简单设计的Verilog HDL建模。
2020-12-23 16:47:3984

利用Verilog_HDL语言设计出租车计费器

利用Verilog_HDL语言设计出租车计费器案例。
2021-04-09 16:22:1661

Verilog HDL的礼物-Verilog HDL扫盲文下载

很多进入FPGA世界不久得朋友,第一个要学习当然是HDL语言,在网上流行的有Verilog和VDL这两个HDL语言。如果读者是 VDL HDL语言的爱好者,那么读者以立即把这本笔记关了。在笔者的眼中
2021-04-30 09:24:3225

Verilog HDL基础语法入门

简单介绍Verilog HDL语言和仿真工具。
2021-05-06 16:17:10617

Verilog HDL verilog hdl和vhdl的区别

Verilog HDL是一种以文本形式描述数字系统硬件的结构和行为的硬件描述语言,也可描述逻辑电路图、逻辑表达式等。Verilog HDL和VHDL是目前主流的、最受欢迎的两种硬件描述语言
2021-07-23 14:36:559911

嵌入式开发Verilog教程(二)——Verilog HDL设计方法概述

嵌入式开发Verilog教程(二)——Verilog HDL设计方法概述前言一、Verilog HDL语言简介1.1 Verilog HDL语言是什么1.2前言在数字逻辑设计领域,迫切需要一种共同
2021-11-03 16:36:0113

Verilog HDL入门教程-Verilog HDL的基本语法

Verilog HDL入门教程-Verilog HDL的基本语法
2022-01-07 09:23:42159

Verilog HDL语言的一些基本知识

Verilog HDL 入门教程
2022-08-08 14:36:225

二十进制编码器及Verilog HDL描述 Verilog HDL程序的基本结构及特点

节通过硬件描述语言Verilog HDL对二十进制编码器的描述,介绍Verilog HDL程序的基本结构及特点。
2023-08-28 09:54:341116

Verilog HDL语言的发展历史和主要能力

Verilog HDL是一种硬件描述语言,以文本形式来描述数字系统硬件的结构和行为的语言,用它可以表示逻辑电路图、逻辑表达式,还可以表示数字逻辑系统所完成的逻辑功能。 Verilog HDL
2023-08-29 15:58:290

已全部加载完成