电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>可编程逻辑>Altera推出面向Stratix® IV FPGA的最新开发套件

Altera推出面向Stratix® IV FPGA的最新开发套件

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

Altera发布首款28nm FPGA开发套件

Altera公司日前宣布开始提供第一款带有28-nm FPGA开发套件——Stratix V GX FPGA信号完整性套件,在推动业界28-nm FPGA发展方面树立了新里程碑。
2011-09-08 09:03:08726

浅析Altera公司Stratix V FPGA芯片

电子发烧友网: 本文主要为大家浅析Altera公司 28nm Stratix V FPGAAltera公司公布了其28nm Stratix V FPGA的性能参数指标,具体参数如下表所示。该款芯片发售日期为2011年一季度。 与Altera St
2012-08-10 10:07:047384

为简化STM32 F3开发,ST推出新开发平台

电子发烧友网核心提示 :意法半导体(STMicroelectronics,简称ST)为简化高性能STM32 F3微控制器开发项目,推出并开始量产一个简单易用的创新开发平台。 新款开发平台STM32 F3开发套件内置陀
2012-10-07 13:26:181578

Altera和ARM携手推出DS-5开发套件,加速产品开发时间

Altera与ARM合作推出DS-5嵌入式软件开发套件,跨越软硬件(SoC FPGA)间除错障碍,协助客户降低产品开发时间。
2012-12-13 08:49:24924

Altera“软硬兼施”,携手ARM意欲何为?

近日,Altera动作频频,究竟意欲何为?Altera全面发售首款面向嵌入式系统的Cyclone V SoC FPGA,且和ARM携手推出旨在消除SoC FPGA中CPU和FPGA间调试壁垒的开发套件DS-5工具包。
2012-12-16 00:08:461007

Altera宣布开始提供Cyclone V SoC开发套件

Altera公司(NASDAQ: ALTR)今天宣布,开始提供Cyclone® V SoC开发套件,这一开发平台加速了硬件和软件开发人员的嵌入式系统设计开发。这一套件是与ARM合作开发的,安装了最近
2013-04-23 10:40:552378

面向Altera Arria 10并经验证的FPGA电源解决方案

凌力尔特公司 (Linear Technology Corporation) 推出面向Altera® Arria® 10 FPGA开发套件的电源管理解决方案。这电路板的技术细节可于
2015-08-28 14:58:152314

Melexis发布新款开发套件:轻松实现非接触式电流感应评估

Melexis 今日宣布,推出用于评估电流传感器芯片的两款最新开发套件。这两款开发套件可为工程师呈现产品设计中不同芯片功能的实际预览,同时优化研发设计与资源分配。
2021-12-08 16:11:541084

Altera Stratix V GX FPGA开发板电路图

本帖最后由 eehome 于 2013-1-5 09:47 编辑 Altera Stratix V GX FPGA开发板电路图
2012-08-13 22:22:08

Altera率先交付高性能28nm FPGA量产芯片

SoC FPGA以及开发套件Stratix V FPGA系列包括含有大量逻辑的E型号,以及含有速率高达28 Gbps集成收发器的GX、GS和GT型号。Stratix V FPGA是唯一具有精度可调
2012-05-14 12:38:53

Altera_Stratix_V_FPGA提供RLDRAM_3存储器支持

Altera_Stratix_V_FPGA提供RLDRAM_3存储器支持
2012-08-13 22:17:28

FPGA开发套件选型FAQ

特权电子相关开发套件 售前FAQ.. 11 套件购买问题... 31.1 选型指南... 31.2 初学者应该选择什么套件... 71.3 CPLD和FPGA有什么区别... 91.4 USB下载线
2013-09-21 12:16:55

Stratix V GX FPGA开发套件,配置问题求助

最近弄了个Stratix V GX FPGA开发套件,然后我看那个说明书,发现这个器件好像没有配置芯片。。配置模式只有jtag,和fpp。然后以前自己的板子都是有jic文件下载到配置芯片里,这次
2014-11-20 15:58:02

Aries IPC开发套件

润和满天星系列Aries IPC开发套件 基于海思Hi3518EV300芯片,支持OpenHarmony小型系统, 实现图像采集识别功能,广泛应用于智能摄像头、安防监控、车载记录仪等
2023-03-28 13:07:10

CC2541开发套件

TI CC2541开发套件
2023-03-25 01:27:25

DC-VIDEO-TVP5146N

Altera 开发套件 子卡 视频 评估板
2024-03-14 23:11:17

Pegasus智能家居开发套件

HiHope 满天星智能家居开发套件
2023-03-28 13:07:10

Pegasus物联网开发套件

润和满天星系列Pegasus物联网开发套件基于海思Hi3861V100芯片, 支持OpenHarmony轻量系统,套件包含丰富的功能单板及配件模块,可同时搭配扩展板输出多种外设控制信号,方便扩展更多
2023-03-28 13:07:10

TaurusAlCamera开发套件

润和满天星系列TaurusAlCamera开发套件 基于海思Hi3516DV300芯片,支持OpenHarmony小型系统, 实现图像采集识别、兼容HDMI和LCD屏显示接口、双向语音、红外夜视等功能,广泛应用于智能摄像、安防监控、车载记录仪等。
2023-03-28 13:07:10

[原创]XILINX,ALTERA开发套件及芯片

;nbsp;  专业提供XILINX,ALTERA全系列开发套件及芯片(XILINX-SPARTAN,VIRTEX;ALTERA-CYCLONE,STRATIX)所有器件均为全新原装,常用
2009-12-16 11:43:01

[原创]XILINX,ALTERA开发套件及芯片

专业提供XILINX,ALTERA全系列开发套件及芯片(XILINX-SPARTAN,VIRTEX;ALTERA-CYCLONE,STRATIX)所有器件均为全新原装,并且可免费提供各种资料及软件(ISE,QUARTUS)QQ:379073549MB:***肖全友
2009-12-16 13:08:35

什么是Altera系列低成本Cyclone IV FPGA

日前,Altera发布新系列Cyclone IV FPGA ,延续其收发器技术的领先优势。当前移动视频、语音和数据访问以及高质量3D图像对低成本带宽需求与日俱增,与此同时,终端产品市场,如智能电话等
2019-07-31 06:59:45

关于DLP 4100 开发套件的控制

最近老板买了一套DLP 4100 开发套件,想请问是否可以直接通过FPGA编程(verilog)来控制DMD
2018-06-21 18:20:21

分享一款不错的Stratix IV GT:100G开发方案

Stratix IV FPGA主要特性是什么?分享一款不错的Stratix IV GT:100G开发方案
2021-05-25 06:03:07

有关Stratix IV GX的CMU使用

开发板)开发板上发现是使用了CMU通道的,如图1所示:图1:Stratix IV GX SI开发板原理图截图[size=13.333333969116211px]如图1所示,QL0和QL2的CMU通道
2014-12-03 15:16:21

有关Stratix IV GX的CMU使用

开发板)开发板上发现是使用了CMU通道的,如图1所示:图1:Stratix IV GX SI开发板原理图截图如图1所示,QL0和QL2的CMU通道的RX都用于Transceiver参考时钟的输入,而QL1
2015-01-20 17:28:58

特权视频图像处理Cyclone IV开发套件资料共享

特权又出新开发板啦,特权视频图像处理Cyclone IV开发套件资料共享特权VIP FPGA图像视频套件开发指南Ver1.04 (by特权同学).pdf (3.08 MB )
2019-04-28 03:26:36

谁用过altera的原厂stratix4开发板做pcie?我求助

本帖最后由 eehome 于 2013-1-5 10:07 编辑 硬件:altera原厂音视频开发stratix IV. $4995的那个软件:quartus 10.0+altera
2012-09-12 21:32:15

重磅!HarmonyOS 2.0正式发布:Firefly与华为计划推出RK3399 HarmonyOS 2.0开发套件

。Firefly与华为基于开源、开放的共识,已达成合作,并完成基础的系统适配、目前正处于全力Debug阶段,将会在近期计划推出基于瑞芯微RK3399平台的“HarmonyOS开发套件”,为企业与开发
2021-06-03 13:59:55

重磅!鸿蒙2.0正式发布:Firefly与华为计划推出RK3399鸿蒙开发套件

、开放的共识,已达成合作,并完成基础的系统适配、目前正处于全力Debug阶段,将会在近期计划推出基于瑞芯微RK3399平台的“鸿蒙开发套件”,为企业与开发者提供完善的软硬件平台,加速鸿蒙OS的项目进程
2021-06-03 14:47:15

重磅!鸿蒙2.0正式发布:Firefly与华为计划推出RK3399鸿蒙开发套件

。Firefly与华为基于开源、开放的共识,已达成合作,并完成基础的系统适配、目前正处于全力Debug阶段,将会在近期计划推出基于瑞芯微RK3399平台的“HarmonyOS开发套件”,为企业与开发
2021-06-07 11:10:54

软通动力启航KS_IoT智能开发套件

启航KS是一款基于海思Hi3861V100芯片设计的高性能物联网开发套件。此开发套件充分考虑物联网感知层设备的多样性,具有功能丰富、集成度高、性能稳定、应用场景广、开发成本低、布局合理等特点,支持
2022-01-06 17:29:13

Niobe物联网开发套件

1.产品概述 拓维信息Niobe WiFi-IoT行业物联网开发套件是一块专门为OpenHarmony设计的开发板,板载高度集成的2.4GHz WLAN SoC芯片Hi3861。开发套件
2022-03-11 15:27:06

Altera FPGA全国嵌入式创新开发实践

Altera FPGA全国嵌入式创新开发实践:Altera FPGA全国嵌入式创新开发实践(2008.10.30)
2008-12-27 16:15:0622

EP4CE10F17C8N,Cyclone IV FPGA设备,INTEL/ALTERA

/ALTERAEP4CE10F17C8N,Cyclone IV FPGA设备,INTEL/ALTERAEP4CE10F17C8N,Cyclone IV FPGA设备,INTEL/ALTERA&nbs
2023-02-20 17:00:57

EP4CE10F17I7N,Cyclone IV FPGA设备,INTEL/ALTERA

/ALTERAEP4CE10F17I7N,Cyclone IV FPGA设备,INTEL/ALTERAEP4CE10F17I7N,Cyclone IV FPGA设备,INTEL/ALTERA&nbs
2023-02-20 17:03:19

EP4CE6F17C8N ,Cyclone IV FPGA设备,INTEL/ALTERA

/ALTERAEP4CE6F17C8N ,Cyclone IV FPGA设备,INTEL/ALTERAEP4CE6F17C8N ,Cyclone IV FPGA设备,INTEL/ALTERA&nbs
2023-02-20 17:05:47

Stratix IV Device Handbook,Vol

® Stratix® IV family ofdevices.How to Contact AlteraFor the most up-to-date information about Altera products, see the following ta
2010-02-25 23:34:0215

Intel Agilex® F系列FPGA开发套件

Intel Agilex® F系列FPGA开发套件Intel Agilex® F系列FPGA开发套件设计用于使用兼容PCI-SIG的开发开发和测试PCIe 4.0设计。该开发套件还可通过硬核处理器
2024-02-27 11:51:58

ZigBee开发套件内容

ZigBee开发套件内容开发套件
2010-11-15 17:35:55165

针对Altera Stratix IV EP4SGX360和

针对Altera Stratix IV EP4SGX360和EP4SGX530器件的电源参考设计,具体电路如下图:
2010-12-12 10:37:5264

针对Altera Stratix IV EP4SGX70和E

针对Altera Stratix IV EP4SGX70和EP4SGX110器件的电源参考设计,电路图如下:
2010-12-12 10:43:3841

Dini推出业界容量最大的基于Altera Stratix

Dini推出业界容量最大的基于Altera Stratix III器件的ASIC Altera公司日前宣布,Dini集团在其业界容量最大的单板FPGA原型引擎中采用了具有340K逻辑单元(LE)的Strati
2008-11-12 10:36:25444

Altera交付Stratix IV GX收发器信号完整性开

Altera公司宣布,开始提供 Stratix IV GX 版收发器信号完整性开发套件。这一全功能硬件开发平台装配了Altera Stratix IV GX FPGA,其收发器工作速率高达8.5 Gbps,使工程师能够迅速方便地进
2009-04-23 10:55:16554

Altera提供Arria II GX开发套件,加速高性能3

Altera提供Arria II GX开发套件,加速高性能3-Gbps应用开发 Altera公司宣布,开始提供Arria II GX FPGA开发套件。用户利用套件提供的硬件和软件资源,可以快速评估并应用Altera
2009-07-30 08:02:14514

Altera新Cyclone IV FPGA拓展了Cyclo

Altera新Cyclone IV FPGA拓展了Cyclone FPGA系列 Altera拓展其成功的Cyclone FPGA系列并延续其收发器技术领先优势,于今天发布Cyclone IV FPGA新系列。在移动视频
2009-11-04 08:46:381221

Altera推出业界密度最大的Stratix IV EP4S

Altera推出业界密度最大的Stratix IV EP4SE820 FPGA Altera宣布,40-nm Stratix IV E FPGA高端密度范围增大到业界领先的820K逻辑单元(LE)。Stratix IV EP4SE820 FPGA是业界同类产品中密度最大
2009-11-11 16:50:00838

Altera Stratix IV FPGA助推XDI db

Altera Stratix IV FPGA助推XDI dbX分析平台 Altera公司日前宣布,XtremeData有限公司下一代dbX系列数据库平台设计采用了高性能Stratix IV FPGA,该系列专门用于对大型数据库进行全
2009-12-04 08:37:02664

Altera推出面向Stratix IV FPGA的最新开发

Altera推出面向Stratix IV FPGA的最新开发套件 Altera公司近日宣布推出面向 Stratix IV FPGA 的最新开发套件Stratix IV E FPGA 开发套件具有业界最高密度、最高性能的 FPGA。该套
2009-12-09 08:45:26792

Altera推出具有530K逻辑元件FPGA开发套件

Altera推出具有530K逻辑元件FPGA开发套件   Altera 公司 (NASDAQ: ALTR) 今天宣布推出面向 Stratix® IV FPGA 的最新开发套件Stratix IV E FPGA 开发套件具有业界最高密度、
2009-12-10 17:08:09638

Altera Stratix IV FPGA继续广受全球媒体

Altera Stratix IV FPGA继续广受全球媒体好评  Altera公司今天宣布,40-nm Stratix® IV FPGA系列最近荣获电子编辑媒体的多个奖项。Stratix IV系列因其在密度、性能和功耗上的优
2010-02-24 09:44:201198

Stratix IV通过Interlaken通用性测试

Stratix IV通过Interlaken通用性测试 Altera公司宣布,Stratix IV FPGA通过Interlaken联盟的器件通用性测试。Altera认证了与使用Interlaken协议的第三方组件的高性能FPGA接口。Stratix IV
2010-03-10 09:26:13557

低功耗Cyclone IV FPGA

低功耗Cyclone IV FPGA Altera公司宣布,开始批量发售Cyclone IV FPGA。公司还宣布开始提供基于Cyclone IV GX的收发器入门开发套件Altera的Cyclone IV FPGA设计用于无线、固网、广播
2010-03-31 10:42:421432

Altera推出业界带宽最大的28nm Stratix V

Altera推出业界带宽最大的28nm Stratix V FPGA Altera公司近日发布业界带宽最大的FPGA——下一代28-nm Stratix V FPGAStratix V FPGA具有1.6 Tbps串行交换能力,采用各种创新技术和前沿28-n
2010-04-22 10:39:54677

用于DK-LM3S9B96开发套件的新型Stellaris

用于DK-LM3S9B96开发套件的新型Stellaris FPGA扩展板 德州仪器 (TI) 宣布推出专用于 DK-LM3S9B96 开发套件的新型 Stellaris FPGA 扩展板,可显着加速开发低成本
2010-05-12 18:15:53989

Stratix IV FPGA系列密度最大器件实现量产

Altera公司今天宣布,开始量产发售40-nm Stratix® IV FPGA系列密度最大的器件。Stratix IV E EP4SE820具有820K逻辑单元(LE),非常适合需要高密度、高性能和低功耗FPGA的各类高端应用,包括ASI
2010-06-22 10:50:22694

赛普拉斯高密度QDR II系列SRAM获Altera采用

赛普拉斯(Cypress)宣布Altera旗下的28奈米Stratix V GX现场可编程闸阵列(FPGA)开发套件,选用赛普拉斯QDR II(Quad Data Rate II)及QDRII+静态随机存取记忆体(SRAM)
2011-12-06 10:41:021367

TI最新DSP软件及开发套件为实时信号处理实现跨越式发展

日前,德州仪器 (TI) 宣布推出面向指纹识别与脸部检测等实时分析应用的 TMS320C6748 DSP 开发套件,为系统增强访问控制,实现生物识别信息的传感与分析。该 C6748 DSP 开发套件也非常适
2012-05-30 08:43:05829

TI推出面向指纹识别与脸部检测等应用的DSP开发套件

日前,德州仪器宣布推出面向指纹识别与脸部检测等实时分析应用的 TMS320C6748 DSP 开发套件,为系统增强访问控制,实现生物识别信息的传感与分析。该 C6748 DSP 开发套件也非常适合音频
2012-05-30 09:16:04554

Altera Cyclone IV GX系列FPGA开发方案

Altera 公司的Cyclone IV 系列 FPGA 包括两个系列:Cyclone IV E和Cyclone IV GX,具有低成本、低功耗的FPGA 架构,6 K 到150 K 的逻辑单元,高达6.3 Mb 的嵌入式存储器,小于1.5 W 的总功耗;Cyclone IV GX 器件提供
2012-05-31 09:08:548725

德州仪器与Altera联合推出适用于Arria V FPGA的完整开发套件

日前,德州仪器 (TI) (NASDAQ: TXN)与 Altera Corporation(NASDAQ:ALTR)在国际微波技术研讨会 (the International Microwave Symposium) 上联合推出基于Altera 28纳米Arria V FPGA的完整RF开发套件,简
2012-06-26 10:00:05919

Altera公司 Stratix V GX FPGA开发板电路图

本资料是关于Altera公司 Stratix V GX FPGA开发板电路图的资料。资料包括开发板原理图、PCB图。
2012-08-10 15:55:44139

Altera交付业界最全面的28nm FPGA系列开发套件

电子发烧友网核心提示 :日前Altera公司宣布开始提供Cyclone V GX FPGA开发套件。这是业界第一款28nm开发套件支持面向大批量应用的低成本、低功耗系统级解决方案的快速设计和开发。Al
2012-09-29 09:16:101030

Altera全面28nm FPGA开发套件快速突击

Altera公司日前开始提供Cyclone V GX FPGA开发套件,这是业界第一款28 nm开发套件,支持面向大批量应用的低成本、低功耗系统级解决方案的快速设计和开发Altera现在可以交付最全面的系列
2012-11-19 09:10:341246

Altera全球同步推出10代FPGA和SoC

Altera于6月11日在北京宣布,全球同步推出10代FPGA和SoC。先行发布的包括高端Stratix10和中端Arria10系列。目标是替代传统的ASSP和ASIC。
2013-06-13 14:26:142150

Altera起价只有49美元的开发套件扩展了低成本系列产品

10月15号,北京——Altera公司 (Nasdaq: ALTR)今天宣布,新增五款基于其Cyclone V FPGA的低成本开发套件。这些新开发套件入门价格只有49美元,方便了设计人员以高性价比
2013-10-15 14:38:581143

贸泽供货适用于Altera Cyclone V SoC FPGA的最新Terasic套件

2013年11月25日 –贸泽电子(Mouser Electronics)即日起开始供应最新的Terasic Technologies FPGA开发套件,支持Altera的Cyclone V片上系统
2013-11-26 11:11:021083

Altera面向OpenCL的软件开发套件 快速提供原型开发流程

Altera公司近日宣布,帮助编程人员在FPGA中大幅度加速实现算法。Altera面向OpenCL的SDK 14.0版包括对程序设计非常熟悉的快速原型设计流程,支持用户在FPGA加速板上快速进行设计原型开发
2014-07-03 09:52:07907

Mouser供货Terasic开发套件 专为Altera SoC FPGA而设

即日起开始分销Terasic Technologies的Atlas-SoC和DE0-Nano-SoC开发套件。Terasic Technologies是Altera的重要设计服务网络合作伙伴。
2016-01-25 16:36:35872

网蜂 Zigbee开发套件使用教程

Zigbee 开发套件使用教程
2016-11-05 10:20:080

面向应用的 MCU 开发套件能加速产品上市

目前,许多供应商都在生产面向特定应用的 MCU 开发套件。 通用套件主要侧重于一般培训活动,通常包括简单的 LED 闪烁样例。有针对性的开发套件与通用套件不同,会配备足够的硬件和软件,让您在实际设计中有一个非常良好的开端。
2017-06-09 09:31:5915

TI全新开发套件简化和消费电子产品的发布中文概述

随着无线网络的快速发展和广泛应用,越来越多的消费电子产品中会集成WLAN功能,以实现更好的连接。继今年2月推出面向IP机顶盒、HDTV等消费电子设备的WLAN开发套件1.0版后,TI又针对
2018-04-20 10:01:4915

Cirrus Logic推出面向Alexa语音服务的开发套件 用于智能扬声器和智能家居应用

Cirrus Logic推出面向 Alexa 语音服务(AVS)的开发套件,该套件适用于智能扬声器和智能家居应用,包括语音控制设备、免提便携式扬声器和网络扬声器等。面向 Amazon AVS 的语音
2018-05-02 09:33:00846

了解分析Stratix IV GX的特点

Altera新的Stratix® IV GX版收发器信号完整性开发套件支持对收发器互操作性和SERDES信号完整性进行全面评估。 观看5分钟视频,了解: 采用3英寸和40英寸电路板
2018-06-22 10:56:001880

了解100G Interlaken解决方案及使用Stratix IV GT版10G收发器

板上的Altera® Interlaken解决方案。   了解怎样使用我们的Stratix IV GT版10G收发器信号完整性套件来评估信号完整性,产生并监视PRBS码型。   了解业界功耗最低的高密度、高性能40-nm FPGA能够为您的100G固网应用带来什么。
2018-06-22 10:01:003757

了解开发套件工具是如何帮助加速FPGA设计

Jeff:大家好,我是Jeff Winett,Altera的一名应用工程师。如果您正在设计基于低功耗FPGA的应用,那么不妨了解一下Altera开发套件中的设计实例是怎样帮助您加速FPGA设计过程
2018-06-20 11:28:003352

关于面向RZ/A1处理器Display-it!开发套件的特点介绍

瑞萨电子面向RZ/A1处理器Display-it!开发套件
2018-07-20 01:45:003939

Mouser供货Terasic开发套件,专为Altera SoC FPGA而设

Technologies是Altera的重要设计服务网络合作伙伴。Atlas-SoC开发套件专为嵌入式软件开发人员而设计,其功能在于启动Linux、运行网络和虚拟网络计算(VNC)服务器,并提供参考设计、开发
2018-08-09 08:42:001051

Altera Cyclone IV GX系列的特性及FPGA开发套件的设计方案介绍

Altera 新的Cyclone®IV 系列 FPGA 器件巩固了Cyclone 系列在低成本、低功耗FPGA市场的领导地位,并且目前提供集成收发器功能的型号。Cyclone IV 器件旨在用于大批量,成本敏感的应用,使系统设计师在降低成本的同时又能够满足不断增长的带宽要求。
2018-11-19 08:35:009473

业界密度最大的Stratix IV EP4SE820 FPGAAltera

关键词:ALTERA , FPGA , Stratix , 密度 , 业界 Altera宣布,40-nm Stratix IV E FPGA高端密度范围增大到业界领先的820K逻辑单元(LE
2018-10-24 20:40:01419

Altera开发出了一套完整的SoC解决方案

Altera的Arria II GX、Stratix IV GT、Stratix IV GX FPGAs和HardCopy IV GX ASIC采用了通用收发器技术,由一套通用开发工具为其提供支持
2018-10-25 15:43:501393

Altera发布一套完整的SoC解决方案

Altera的Arria II GX、Stratix IV GT、StraTIx IV GX FPGAs和HardCopy IV GX ASIC采用了通用收发器技术,由一套通用开发工具为其提供支持,帮助系统设计人员开发完整的芯片系统(SoC)解决方案。
2018-10-27 08:17:003483

Virtex UltraScale+ FPGA VCU110开发套件的特点性能演示

查看Virtex UltraScale + FPGA VCU110开发套件,该套件具有完美的开发环境,可用于评估尖端的Virtex UltraScale All Programmable FPGA
2018-11-23 06:04:003340

Altera Stratix IV GT 100G开发方案

关键词:ALTERA , Stratix IV GT 100G Altera公司的Stratix IV 40nm FPGA包括Stratix IV E, Stratix IV GX和Stratix
2019-02-16 09:51:01495

Cyclone II FPGA入门开发套件用户指南资料免费下载

本用户指南说明怎样开始使用 Altera Cyclone II FPGA 入门开发套件,包括打开套件包装,安装所需的软件,连接开发板和计算机,以及运行示例软件等。
2019-03-25 08:00:003

AlteraStratix® V FPGA是业界唯一能提供14.1 Gbps收发器带宽的FPGA

Altera公司日前宣布,开始批量发售FPGA业界性能最好、具有背板功能的收发器。AlteraStratix® V FPGA是业界唯一能够提供14.1 Gbps收发器带宽的FPGA,也是唯一
2019-05-27 10:33:261467

关于Mouser供货Terasic开发套件 性能分析介绍

Mouser分销的Terasic Atlas-SoC和DE0-Nano-SoC开发套件提供了基于Altera SoC FPGA的强大硬件设计平台。28 nm的Altera SoC FPGA结合
2019-08-26 11:28:332612

什么是物联网开发套件?如何挑选物联网开发套件

关键词:物联网 , 开发套件 物联网应用的高速增长催生了越来越多的开发套件,为从事物联网产品和系统设计的工程师提供了丰富多样的新工具。但在选择开发套件时,五花八门的产品也会让设计师感到眼花缭乱
2020-05-29 17:55:174689

Altera公司将FPGA高端密度范围增大到820K逻辑单元

Altera公司 宣布,40-nm Stratix® IV E FPGA高端密度范围增大到业界领先的820K逻辑单元(LE)。Stratix IV EP4SE820 FPGA是业界同类产品中密度最大
2020-08-30 08:22:00850

Altera Stratix V FPGA的电源解决方案

Altera Stratix V FPGA的电源解决方案
2021-04-29 09:41:475

具有LVDS输出的LTM9011 ADC的AN147-Altera Stratix IV FPGA接口

具有LVDS输出的LTM9011 ADC的AN147-Altera Stratix IV FPGA接口
2021-05-09 21:19:5314

wp02-将凌力尔特公司的DDR LVDS ADC与Altera Stratix IV FPGA接口

wp02-将凌力尔特公司的DDR LVDS ADC与Altera Stratix IV FPGA接口
2021-05-23 11:13:281

Altera Stratix IV接口的WP02-VHDL

Altera Stratix IV接口的WP02-VHDL
2021-06-07 14:41:227

罗德与施瓦茨与藤仓和艾福伦合作验证5G PAAM的新开发套件

罗德与施瓦茨(以下简称"R&S公司")与藤仓和艾福伦合作验证了他们5G PAAM的新开发套件。R&S ATS800B台式CATR OTA测试系统非常适合在开放环境中进行原型测试。
2023-06-20 14:06:39336

5款强大到不可思议的FPGA开发板介绍

Intel Stratix 10开发套件是包含各类软硬件的完整设计环境,用于评估Stratix 10 FPGA的功能。该套件可用于通过符合PCI-SIG的开发板来开发和测试PCI Express
2023-09-07 10:15:332331

Neptune开发套件_2021

Neptune开发套件指南
2021-08-18 12:28:393

已全部加载完成