电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>可编程逻辑>PLD技术>Altera Stratix IV FPGA助推XDI db

Altera Stratix IV FPGA助推XDI db

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

Altera发布首款28nm FPGA开发套件

Altera公司日前宣布开始提供第一款带有28-nm FPGA的开发套件——Stratix V GX FPGA信号完整性套件,在推动业界28-nm FPGA发展方面树立了新里程碑。
2011-09-08 09:03:08726

浅析Altera公司Stratix V FPGA芯片

电子发烧友网: 本文主要为大家浅析Altera公司 28nm Stratix V FPGAAltera公司公布了其28nm Stratix V FPGA的性能参数指标,具体参数如下表所示。该款芯片发售日期为2011年一季度。 与Altera St
2012-08-10 10:07:047384

Altera推出全系列28nm FPGA产品

Altera公司宣佈开始量产出货28nm FPGA产品系列所有的叁个产品,包括Stratix V、Arria V与Cyclone V元件。Altera 最新推出的是它的低成本、低功率消耗产品系列中容量最大的Cyclone V FPGA,为业界树
2012-09-06 09:02:151535

Pico公司提供全新Altera Stratix V PCIe FPGA模块

电子发烧友网讯 :近日,Pico Computing 公司宣布将为客户提供全新的M-506 FPGA模块第一个以Altera 28nm Stratix V FPGA芯片为特征的模块。该模块加入了最新的Pico 公司的可扩展PCI - Express架构,还
2012-10-22 14:58:391670

JDSU为下一代光测试仪产品选用Altera Stratix V GT FPGA

Altera公司 (Nasdaq: ALTR)今天宣布,向JDSU发售Stratix® V GT FPGA,以支持其下一代光网络测试仪(ONT)解决方案的量产。
2013-01-29 19:09:401574

Altera Stratix V GX FPGA实现了与PCIe Gen3的兼容

Altera公司 (NASDAQ: ALTR)今天宣布,其28 nm Stratix® V GX FPGA已经收录在最新的PCI-SIG® Integrators名录中,符合PCI Express® (PCIe®) 3.0规范(Gen3)要求。
2013-05-23 10:34:541803

28nm FPGA芯片-Altera 的“雄韬伟略”

电子发烧友网讯: Altera公司 28nm FPGA系列芯片共包括三大系列:Stratix V、Arria V与Cyclone V系列芯片。近日,Altera公司也正式宣布该三大系列芯片已全部开始量产出货。Altera公司凭借着其
2012-09-19 09:15:277735

Altera FPGA的上电顺序

学习的时候了解到FPGA的多路供电要求一定的上电断电顺序,目前在搞Altera的Cyclone IV系列的FPGA,主要有内部逻辑供电VCCINT,PLL供电VCCD_PLL,IO口供电VCCIO等
2017-05-18 22:36:29

Altera Stratix V GX FPGA开发板电路图

本帖最后由 eehome 于 2013-1-5 09:47 编辑 Altera Stratix V GX FPGA开发板电路图
2012-08-13 22:22:08

Altera率先交付高性能28nm FPGA量产芯片

Altera公司近期宣布,开始交付业界第一款高性能28-nm FPGA量产芯片。Stratix V FPGA是唯一使用TSMC 28HP工艺制造的FPGA,比竞争解决方案高出一个速率等级
2012-05-14 12:38:53

Altera_Stratix_V_FPGA提供RLDRAM_3存储器支持

Altera_Stratix_V_FPGA提供RLDRAM_3存储器支持
2012-08-13 22:17:28

Stratix 10 MX FPGA是什么?Stratix 10 MX FPGA有哪些功能?

请问一下Stratix 10 MX FPGA是什么?Stratix 10 MX FPGA有哪些功能?
2021-07-09 08:08:06

Stratix III FPGA与Xilinx Virtex-5之间有什么不同?

Stratix III FPGA与Xilinx Virtex-5的体系结构对比Stratix III FPGA与Xilinx Virtex-5的性能对比
2021-05-07 07:00:14

Cyclone IV FPGA 器件系列概述

Altera 新的 Cyclone® IV 系列 FPGA 器件巩固了 Cyclone 系列在低成本、低功耗 FPGA市场的领导地位,并且目前提供集成收发器功能的型号。Cyclone IV 器件旨在
2017-11-13 11:22:50

DK-APS-4SGXRD--I

Stratix IV GX FPGA Stratix® IV GX FPGA 评估板
2024-03-14 21:24:39

[重要更新][Quartus II][14.1正式版][下载链接和破解器]

一代产品了,从MAX 10开始Cyclone和MAX就合并了,以后都叫MAX了,都是FPGA集成高速配置器件的结构。Arria 10系列性能和Stratix V一样,价格是Stratix V的一半或者
2014-12-26 00:36:54

什么是Altera系列低成本Cyclone IV FPGA

日前,Altera发布新系列Cyclone IV FPGA ,延续其收发器技术的领先优势。当前移动视频、语音和数据访问以及高质量3D图像对低成本带宽需求与日俱增,与此同时,终端产品市场,如智能电话等
2019-07-31 06:59:45

什么是新一代DSP+FPGA高速数字信号处理方案?

FPGA采用Altera 公司的40nm Stratix IV 系列FPGA。通过SRIO协议,DSP可与FPGA的进行高速通信。由于集成了DSP和FPGA各自优点,HPS6678可在高速无线通信、多媒体系统、雷达及卫星系统、医疗系统、高清图像处理等多个领域中发挥重要的作用。
2019-09-24 08:29:12

令人侧目的Altera 28nm FPGA芯片?

本帖最后由 ycq654263138 于 2012-9-21 16:34 编辑   电子发烧友网讯:Altera公司 28nm FPGA系列芯片共包括三大系列:Stratix V、Arria
2012-09-21 13:49:05

分享一款不错的Stratix IV GT:100G开发方案

Stratix IV FPGA主要特性是什么?分享一款不错的Stratix IV GT:100G开发方案
2021-05-25 06:03:07

基于Altera Cyclone IV FPGA 器件的入门级FPGA学习平台

一、板级电路整体架构我接下来一段时间学习的就是“勇敢的芯”FPGA 实验平台,它是特权同学和至芯科技携手打造的一款基于Altera Cyclone IV FPGA 器件的入门级 FPGA 学习平台
2021-11-17 07:46:27

有关Stratix IV GX的CMU使用

的开发板)开发板上发现是使用了CMU通道的,如图1所示:图1:Stratix IV GX SI开发板原理图截图[size=13.333333969116211px]如图1所示,QL0和QL2的CMU通道
2014-12-03 15:16:21

有关Stratix IV GX的CMU使用

的开发板)开发板上发现是使用了CMU通道的,如图1所示:图1:Stratix IV GX SI开发板原理图截图如图1所示,QL0和QL2的CMU通道的RX都用于Transceiver参考时钟的输入,而QL1
2015-01-20 17:28:58

用低成本的ALTERA CYCLONE IV做TCP/IP协议的百兆以太网

以太网各参数配置很麻烦,没关系,通过FPGA+EEPROM通过串口搞定。 方案3、用ALTERA的廉价CYCLONE IV 芯片+韩国WIZNET的W5300,搞定!布线有点复杂,速度达到50Mbps,这两芯片成本加在一起50块钱。
2014-12-16 16:11:47

谁用过altera的原厂stratix4开发板做pcie?我求助

本帖最后由 eehome 于 2013-1-5 10:07 编辑 硬件:altera原厂音视频开发板stratix IV. $4995的那个软件:quartus 10.0+altera
2012-09-12 21:32:15

针对Altera公司的系列产品的集成式电源解决方案下载

附件的产品资料是咱们针对Altera公司的Cyclone V (GX/SX)、Stratix IVStratix V和Arria V 系列产品的集成式电源解决方案,欢迎下载(有分别针对Altera
2018-12-05 09:14:07

Altera FPGA/CPLD设计(高级篇)

Altera FPGA/CPLD设计(高级篇)》结合作者多年工作经验,深入地讨论了Altera FPGA/CPLD的设计、优化技巧。在讨论FPGA/CPLD设计指导原则的基础上,介绍了Altera器件的高级应用;引领读者
2009-02-12 09:19:124799

USB-Blaster下载/仿真/调试器-北京革新创展科技有限公司

。支持的Altera FPGA/CPLD器件如下:Stratix II®、Stratix II GX、Stratix GX及Stratix系列Cyclone II
2022-07-27 10:31:29

altera fpga/cpld设计

altera fpga/cpld设计 基础篇结合作者多年工作经验,系统地介绍了FPGA/CPLD的基本设计方法。在介绍FPGA/CPLD概念的基础上,介绍了Altera主流FPGA/CPLD的结构与特点,并通过丰富的实例讲解
2009-07-10 17:35:4557

EP4CE10F17C8N,Cyclone IV FPGA设备,INTEL/ALTERA

/ALTERAEP4CE10F17C8N,Cyclone IV FPGA设备,INTEL/ALTERAEP4CE10F17C8N,Cyclone IV FPGA设备,INTEL/ALTERA&nbs
2023-02-20 17:00:57

EP4CE10F17I7N,Cyclone IV FPGA设备,INTEL/ALTERA

/ALTERAEP4CE10F17I7N,Cyclone IV FPGA设备,INTEL/ALTERAEP4CE10F17I7N,Cyclone IV FPGA设备,INTEL/ALTERA&nbs
2023-02-20 17:03:19

EP4CE6F17C8N ,Cyclone IV FPGA设备,INTEL/ALTERA

/ALTERAEP4CE6F17C8N ,Cyclone IV FPGA设备,INTEL/ALTERAEP4CE6F17C8N ,Cyclone IV FPGA设备,INTEL/ALTERA&nbs
2023-02-20 17:05:47

Stratix IV Device Handbook,Vol

® Stratix® IV family ofdevices.How to Contact AlteraFor the most up-to-date information about Altera products, see the following ta
2010-02-25 23:34:0215

针对Altera Stratix IV EP4SGX360和

针对Altera Stratix IV EP4SGX360和EP4SGX530器件的电源参考设计,具体电路如下图:
2010-12-12 10:37:5264

针对Altera Stratix IV EP4SGX70和E

针对Altera Stratix IV EP4SGX70和EP4SGX110器件的电源参考设计,电路图如下:
2010-12-12 10:43:3841

针对Altera Cyclone IV: 3.3-V的TPS

针对Altera Cyclone IV: 3.3-V的TPS54318解决方案,电路图如下:
2010-12-12 10:45:1140

Dini推出业界容量最大的基于Altera Stratix

Dini推出业界容量最大的基于Altera Stratix III器件的ASIC Altera公司日前宣布,Dini集团在其业界容量最大的单板FPGA原型引擎中采用了具有340K逻辑单元(LE)的Strati
2008-11-12 10:36:25444

Altera交付Stratix IV GX收发器信号完整性开

Altera公司宣布,开始提供 Stratix IV GX 版收发器信号完整性开发套件。这一全功能硬件开发平台装配了Altera Stratix IV GX FPGA,其收发器工作速率高达8.5 Gbps,使工程师能够迅速方便地进
2009-04-23 10:55:16554

Altera新Cyclone IV FPGA拓展了Cyclo

Altera新Cyclone IV FPGA拓展了Cyclone FPGA系列 Altera拓展其成功的Cyclone FPGA系列并延续其收发器技术领先优势,于今天发布Cyclone IV FPGA新系列。在移动视频
2009-11-04 08:46:381221

Altera开始量产发售业界首款集成11.3-Gbps收发器

Altera开始量产发售业界首款集成11.3-Gbps收发器的FPGA Altera公司宣布,开始量产发售Stratix IV GT EP4S100G2 FPGA,这是业界首款集成了11.3-Gbps收发器的FPGAStratix IV GT FPGA是目前
2009-11-05 09:47:42615

Altera推出业界密度最大的Stratix IV EP4S

Altera推出业界密度最大的Stratix IV EP4SE820 FPGA Altera宣布,40-nm Stratix IV E FPGA高端密度范围增大到业界领先的820K逻辑单元(LE)。Stratix IV EP4SE820 FPGA是业界同类产品中密度最大
2009-11-11 16:50:00838

Altera推出面向Stratix IV FPGA的最新开发

Altera推出面向Stratix IV FPGA的最新开发套件 Altera公司近日宣布推出其面向 Stratix IV FPGA 的最新开发套件。Stratix IV E FPGA 开发套件具有业界最高密度、最高性能的 FPGA。该套
2009-12-09 08:45:26792

Altera推出具有530K逻辑元件FPGA 的开发套件

Altera推出具有530K逻辑元件FPGA 的开发套件   Altera 公司 (NASDAQ: ALTR) 今天宣布推出其面向 Stratix® IV FPGA 的最新开发套件。Stratix IV E FPGA 开发套件具有业界最高密度、
2009-12-10 17:08:09638

Altera Stratix IV FPGA继续广受全球媒体

Altera Stratix IV FPGA继续广受全球媒体好评  Altera公司今天宣布,40-nm Stratix® IV FPGA系列最近荣获电子编辑媒体的多个奖项。Stratix IV系列因其在密度、性能和功耗上的优
2010-02-24 09:44:201198

Stratix IV通过Interlaken通用性测试

Stratix IV通过Interlaken通用性测试 Altera公司宣布,Stratix IV FPGA通过Interlaken联盟的器件通用性测试。Altera认证了与使用Interlaken协议的第三方组件的高性能FPGA接口。Stratix IV
2010-03-10 09:26:13557

低功耗Cyclone IV FPGA

低功耗Cyclone IV FPGA Altera公司宣布,开始批量发售Cyclone IV FPGA。公司还宣布开始提供基于Cyclone IV GX的收发器入门开发套件。Altera的Cyclone IV FPGA设计用于无线、固网、广播
2010-03-31 10:42:421432

FPGA实现与40G QSFP光学模块的互操作性

FPGA实现与40G QSFP光学模块的互操作性          Altera 公司 宣布其Stratix IV GT FPGA 实现了与Avago公司 的 40G 四通道小型可插
2010-04-02 10:49:212145

Altera推出业界带宽最大的28nm Stratix V

Altera推出业界带宽最大的28nm Stratix V FPGA Altera公司近日发布业界带宽最大的FPGA——下一代28-nm Stratix V FPGAStratix V FPGA具有1.6 Tbps串行交换能力,采用各种创新技术和前沿28-n
2010-04-22 10:39:54677

28nm Stratix V FPGA突破带宽瓶颈

28nm Stratix V FPGA突破带宽瓶颈 Altera公司的最新28nm Stratix V FPGA正是为满足高带宽应用设计要求而推出。 移动互联网、高清视频、军事、医疗以及计算
2010-05-10 17:52:04713

基于28nn Stratix V FPGA的100GbE线路

Altera公司的28nm Stratix V FPGA包括增强的核架构,高达28Gbps和低功耗低BER的收发器,以及硬IP区块阵列等. Stratix V FPGA包括四个GT, GX, GS和E系列,内核工作电压0.85V, 533-MHz/1066-Mbps 外接存储器
2010-05-31 14:30:031085

Stratix IV FPGA系列密度最大器件实现量产

Altera公司今天宣布,开始量产发售40-nm Stratix® IV FPGA系列密度最大的器件。Stratix IV E EP4SE820具有820K逻辑单元(LE),非常适合需要高密度、高性能和低功耗FPGA的各类高端应用,包括ASI
2010-06-22 10:50:22694

Altera业界首款集成增强前向纠错(EFEC) IP内核

Altera公司日前宣布,开始提供业界第一款集成增强前向纠错(EFEC) IP内核,该内核针对高性能Stratix IVStratix V系列FPGA进行了优化。
2011-03-09 09:42:311054

Altera发售业界最复杂半导体 Stratix V FPGA

Stratix V FPGA是目前业界发售的最复杂的半导体。它是利用TSMC的28-nm高性能(28HP)工艺来提高性能和带宽的唯一FPGA。28HP工艺结合最优FPGA设计
2011-04-20 09:02:49488

Altera率先实现Stratix V GX FPGA与PCIe Gen3交换机互操作

Altera公司(Nasdaq: ALTR)宣布,成功实现28-nm Stratix® V GX FPGA与PLX®技术公司(Nasdaq: PLXT) ExpressLane™ PCI Express® (PCIe®) Gen3的互操作
2011-12-14 09:28:09677

低电压FPGA的高性能开关电源解决方案

The newest FPGAfamily from Altera, the Stratix II, now requires a corevoltage of 1.2V and the Stratix, Stratix GX, HardCopyStratix and CycloneTM
2012-02-03 15:47:5596

Altium与Altera合作发布全新在线元件资源和软件支持

2012年2月13日,中国北京讯– 下一代电子设计软件与服务开发商Altium公司近日宣布为AlteraStratix® IV FPGA和MAX® V CPLD器件产品系列的板级元件提供全新的器件和升级,通过Altium的生态系统
2012-02-14 09:02:30706

Altera首次演示FPGA与100-Gbps光模块的互操作性

Altera Stratix V GT器件是业界唯一采用28-Gbps收发器技术的FPGA,支持实现下一代100-Gbps网络
2012-02-27 09:55:04773

Altera Stratix V FPGA实现业界第一款单芯片双路100G转发器

Altera公司(NASDAQ: ALTR)今天宣布,在28-nm高性能Stratix® V FPGA中实现了业界第一个单芯片双路100G转发器解决方案。
2012-03-05 09:40:11665

Altera Cyclone IV GX系列FPGA开发方案

Altera 公司的Cyclone IV 系列 FPGA 包括两个系列:Cyclone IV E和Cyclone IV GX,具有低成本、低功耗的FPGA 架构,6 K 到150 K 的逻辑单元,高达6.3 Mb 的嵌入式存储器,小于1.5 W 的总功耗;Cyclone IV GX 器件提供
2012-05-31 09:08:548725

Altera公司 Stratix V GX FPGA开发板电路图

本资料是关于Altera公司 Stratix V GX FPGA开发板电路图的资料。资料包括开发板原理图、PCB图。
2012-08-10 15:55:44139

Stratix V FPGA 28 nm创新技术超越摩尔定律

本白皮书介绍 Stratix V FPGA 是怎样帮助用户提高带宽同时保持其成本和功耗预算不变。在工艺方法基础上,Altera 利用 FPGA 创新技术超越了摩尔定律,满足更大的带宽要求,以及成本和功耗
2012-08-10 16:30:2033

Altera FPGA的选型及开发

本资料是关于Altera FPGA的选型及开发,内容大纲是:AlteraFPGA体系结构简介;AlteraFPGA选型策略;嵌入式逻辑分析工具SignalTAPII的使用;基于CPLD的FPGA配制方法。
2012-08-15 14:48:34103

Altera Stratix25DSP在DSP设计实验教学中的应用

Altera Stratix25DSP在DSP设计实验教学中的应用
2012-08-15 16:30:4428

Altera 28nm FPGA芯片精彩剖析

电子发烧友网讯: Altera公司 28nm FPGA系列芯片共包括三大系列:Stratix V、Arria V与Cyclone V系列芯片。近日,Altera公司也正式宣布该三大系列芯片已全部开始量产出货。Altera公司凭借着其
2012-09-19 11:59:23230

Altera全球同步推出10代FPGA和SoC

Altera于6月11日在北京宣布,全球同步推出10代FPGA和SoC。先行发布的包括高端Stratix10和中端Arria10系列。目标是替代传统的ASSP和ASIC。
2013-06-13 14:26:142150

Altera出色的FPGA和SoC创新受DesignCon表彰

Altera的14 nm Stratix 10 FPGA和SoC以及ARM DS-5 Altera版SoC工具包赢得两项2014设计创意奖
2014-02-10 09:50:15821

Altera助推客户启动14 nm Stratix 10 FPGA和SoC设计

2014年8月5号,北京——Altera公司(Nasdaq: ALTR)今年早些时候宣布了早期客户基准测试结果获得成功,在此基础上,今天发布面向Stratix® 10 FPGA和SoC的早期试用设计软件,这是业界第一款针对14-nm FPGA的设计软件。
2014-08-07 13:24:10807

NBP6_Altera_Stratix_BGA780_Rev1.00

NBP6 Altera Stratix BGA780 Rev1.00
2016-02-17 14:50:530

Altera彻底改变基于FPGA的浮点DSP

的可编程逻辑公司,前所未有的提高了DSP性能、设计人员的效能和逻辑效率。硬核浮点DSP模块集成在正在发售的Altera 20 nm Arria 10 FPGA和SoC中,也集成在14 nm Stratix
2018-02-11 13:34:006954

Virtex-7 GTH 收发器对决 Altera Stratix V GX 收发器

Virtex-7 GTH 收发器与Altera Stratix V GX 收发器的功能对比情况
2018-06-06 01:45:003572

比较 Xilinx® Virtex®-7FPGA GTH收发器和Altera Stratix V GX收发器的均衡能力

设计人员呼吁提升10G+ 芯片到芯片和背板性能, 依赖接收机均衡来补偿信号失真。观看视频, 并排比较 Xilinx® Virtex®-7 FPGA GTH 收发器 和 Altera Stratix V GX 收发器的均衡能力。
2018-05-23 15:47:003974

如何设计让Stratix III FPGAs 的消耗比 VITEX-5 的更小

Altera's proprietary Programmable Power Technology enables the Stratix® III logic fabric to consume
2018-06-22 03:50:001687

了解分析Stratix IV GX的特点

Altera新的Stratix® IV GX版收发器信号完整性开发套件支持对收发器互操作性和SERDES信号完整性进行全面评估。 观看5分钟视频,了解: 采用3英寸和40英寸电路板
2018-06-22 10:56:001880

了解100G Interlaken解决方案及使用Stratix IV GT版10G收发器

板上的Altera® Interlaken解决方案。   了解怎样使用我们的Stratix IV GT版10G收发器信号完整性套件来评估信号完整性,产生并监视PRBS码型。   了解业界功耗最低的高密度、高性能40-nm FPGA能够为您的100G固网应用带来什么。
2018-06-22 10:01:003757

Altera Cyclone IV GX系列的特性及FPGA开发套件的设计方案介绍

Altera 新的Cyclone®IV 系列 FPGA 器件巩固了Cyclone 系列在低成本、低功耗FPGA市场的领导地位,并且目前提供集成收发器功能的型号。Cyclone IV 器件旨在用于大批量,成本敏感的应用,使系统设计师在降低成本的同时又能够满足不断增长的带宽要求。
2018-11-19 08:35:009473

业界密度最大的Stratix IV EP4SE820 FPGAAltera

关键词:ALTERA , FPGA , Stratix , 密度 , 业界 Altera宣布,40-nm Stratix IV E FPGA高端密度范围增大到业界领先的820K逻辑单元(LE
2018-10-24 20:40:01419

Altera开发出了一套完整的SoC解决方案

Altera的Arria II GX、Stratix IV GT、Stratix IV GX FPGAs和HardCopy IV GX ASIC采用了通用收发器技术,由一套通用开发工具为其提供支持
2018-10-25 15:43:501393

Altera发布一套完整的SoC解决方案

Altera的Arria II GX、Stratix IV GT、StraTIx IV GX FPGAs和HardCopy IV GX ASIC采用了通用收发器技术,由一套通用开发工具为其提供支持,帮助系统设计人员开发完整的芯片系统(SoC)解决方案。
2018-10-27 08:17:003483

GRX IV FPGA开发工具包用户指南资料免费下载

 Altera®旋风®IV GX FPGA开发工具包是一个完整的设计环境,包括硬件和软件,你需要开发旋风IV GX FPGA设计。PCI SIG兼容板,高速夹层卡(HSMC),以及免许可
2018-11-22 08:00:009

Cyclone IV FPGA器件系列资料概述免费下载

Altera 新的Cyclone® IV 系列 FPGA 器件巩固了Cyclone 系列在低成本、低功耗FPGA市场的领导地位,并且目前提供集成收发器功能的型号。Cyclone IV 器件旨在用于大批量,成本敏感的应用,使系统设计师在降低成本的同时又能够满足不断增长的带宽要求。
2018-11-22 08:00:0046

Altera Stratix IV GT 100G开发方案

关键词:ALTERA , Stratix IV GT 100G Altera公司的Stratix IV 40nm FPGA包括Stratix IV E, Stratix IV GX和Stratix
2019-02-16 09:51:01495

AlteraStratix® V FPGA是业界唯一能提供14.1 Gbps收发器带宽的FPGA

Altera公司日前宣布,开始批量发售FPGA业界性能最好、具有背板功能的收发器。AlteraStratix® V FPGA是业界唯一能够提供14.1 Gbps收发器带宽的FPGA,也是唯一
2019-05-27 10:33:261467

锆石FPGA A4_Nano开发板视频:NiOS II硬件框架结构深入剖析2

Nios II系列软核处理器是Altera的第二代FPGA嵌入式处理器,其性能超过200DMIPS,在Altera FPGA中实现仅需35美分。AlteraStratixStratix GX
2019-09-26 07:00:001271

锆石FPGA A4_Nano开发板视频:NiOS II硬件框架结构深入剖析(2)

Nios II系列软核处理器是Altera的第二代FPGA嵌入式处理器,其性能超过200DMIPS,在Altera FPGA中实现仅需35美分。AlteraStratixStratix GX
2019-09-25 07:09:001923

ALTERA FPGA PCIE的设计指导教程

、Cyclone IV GX或Stratixe IV GX FPGA、内部存储器和系统之间传输数据记忆参考设计包括一个基于Windows XP的软件应用程序,用于设置DMA转移软件应用程序还测量和显示转移。
2020-05-14 17:51:2458

Altera推出面向Stratix® IV FPGA的最新开发套件

Altera公司推出其面向Stratix® IV FPGA的最新开发套件。Stratix IV E FPGA 开发套件具有业界最高密度、最高性能的 FPGA。该套件为用户提供了全面的设计环境,其中包括迅速开始其高密度原型产品设计所需的硬件和软件。
2020-08-30 08:19:01826

Altera公司将FPGA高端密度范围增大到820K逻辑单元

Altera公司 宣布,40-nm Stratix® IV E FPGA高端密度范围增大到业界领先的820K逻辑单元(LE)。Stratix IV EP4SE820 FPGA是业界同类产品中密度最大
2020-08-30 08:22:00850

基于Altera Stratix-V FPGA的收发器

SFP + SR,LR,LRM和Altera Stratix-V FPGA的收发器。 目的 本报告演示了Avago 10Gbs以太网SFP +收发器产品,AFBR-709SMZ(SFP + SR
2021-04-13 15:13:303107

Altera Stratix V FPGA的电源解决方案

Altera Stratix V FPGA的电源解决方案
2021-04-29 09:41:475

具有LVDS输出的LTM9011 ADC的AN147-Altera Stratix IV FPGA接口

具有LVDS输出的LTM9011 ADC的AN147-Altera Stratix IV FPGA接口
2021-05-09 21:19:5314

wp02-将凌力尔特公司的DDR LVDS ADC与Altera Stratix IV FPGA接口

wp02-将凌力尔特公司的DDR LVDS ADC与Altera Stratix IV FPGA接口
2021-05-23 11:13:281

Altera Stratix IV接口的WP02-VHDL

Altera Stratix IV接口的WP02-VHDL
2021-06-07 14:41:227

Altera FPGA CPLD学习笔记

Altera FPGA CPLD学习笔记(肇庆理士电源技术有限)-Altera FPGA CPLD学习笔记                 
2021-09-18 10:54:4179

如何利用FPGA系列的专用I/O功能将LTC2000连接至FPGA

本应用笔记介绍了如何利用 FPGA 系列的专用 I/O 功能,将具有高速并行低压差分信号 (LVDS) 输入的 LTC2000、16 位、2.5GSPS 数模转换器 (DAC) 连接至 ALTERA STRATIX IV FPGA
2023-01-08 10:08:081557

英特尔Stratix 10 GX 10M FPGA原型设计系统

proFPGA 四模块英特尔 Stratix 10 GX 10M FPGA 原型设计系统采用 4 个基于英特尔 Stratix 10 GX 10M FPGA 的可插拔 FPGA 模块。
2023-03-17 11:22:30470

Intel和Xilinx的FPGA系列芯片命名规则

Altera FPGA产品系列也备受欢迎,主要的FPGA系列产品有:Cyclone、MAXII、Arria、Stratix、Agilex,其中应用广泛的消费级FPGA芯片是Cyclone系列,市面上大部分Inter开发板都是用的Cyclone IV
2023-05-26 14:44:32721

已全部加载完成