0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>接口/总线/驱动>

接口/总线/驱动

权威的接口/总线/驱动技术专栏,内容有接口/总线/驱动培圳资料以及应用知识等;涉及接口/总线/驱动的芯片、技术、设计方法和应用文章。
如何处理时钟和数据信号的传输差异?

如何处理时钟和数据信号的传输差异?

为了应对网络、服务器和存储速度和容量的快速提高的需求,促进了100GbE,400Gbp和1Tbps的通信系统的开发。而超过30Gbps的速率接口被这些系统所采用。...

2023-06-12 标签:PCB板发生器FIFO存储PLL电路DUT 1666

信号完整性的特征描述

信号完整性的特征描述

信号完整性研究的是如何使驱动器输出的信号传输到接收器件并被正确接收。...

2023-06-12 标签:驱动器PCB板存储器信号完整性电源噪声 1701

I²C总线的验证及实现

I²C总线的验证及实现

80年代初期,Philips Semiconductor为减少电子产品内部并行数据总线的数量,开发了Inter IC (I²C)总线。1992年,Philips®发布I²C总线的1.0版本,该版本定义了一个2线、双向总线,用于IC之间的互联。到...

2023-06-12 标签:芯片ADCI²C总线 735

深入浅出剖析增益法测试噪声系数

深入浅出剖析增益法测试噪声系数

提到增益法测试噪声系数,大家并不陌生,这是一种简洁的测试方法,精度不如Y因子法,但是在某些测试场合,比如只有频谱仪而没有噪声头,且待测件具有非常高的增益时,就可以使用增益...

2023-06-12 标签:滤波器检波器频谱仪DUT 1186

AGC电路噪声系数如何进行有效的测试呢?

AGC电路噪声系数如何进行有效的测试呢?

对于噪声系数测试,多数情况下会选择Y因子方法测试,但是当待测件包含自动增益控制电路(AGC)时,Y因子方法受到一定的限制。...

2023-06-12 标签:接收机RMS检测器AGC电路可变增益放大器DUT 893

什么是信号完整性呢?

什么是信号完整性呢?

信号完整性(Signal Integrity,SI),也就是我们通常所说的信号质量。随着信号速率的提高,数字信号的传输已经不能只考虑逻辑上的实现,而要考虑如何能够使接收器件接收到正确的信号波形。...

2023-06-12 标签:接收器信号完整性电源完整性电磁场驱动芯片 5362

STM32F103制作FlashDriver的实现过程

STM32F103制作FlashDriver的实现过程

在汽车行业控制器软件刷新流程中,一般会将Flash驱动单独进行刷写,目的是防止程序中一直存在Flash驱动的话,可能会造成对APP软件的异常操作,导致应用程序无法执行。...

2023-06-12 标签:FlaShSTM32F103LSBSRAM芯片汽车控制器 388

什么是I2C协议?I2C总线协议和死锁原因简析

什么是I2C协议?I2C总线协议和死锁原因简析

I2C协议是一个允许一主多从进行通讯的协议。它就像串行外设接口(SPI)一样,只能用于短距离通信。又像异步串行接口(如RS232或UART), 只需要两根信号线来交换信息。...

2023-06-12 标签:接收器RS232接口发送器SDAI2C协议 721

噪声系数测量

噪声系数测量

电子学热噪声是最基本的一种噪声,是由电子的热运动产生的。现实中的所有器件,都会产生热噪声。...

2023-06-12 标签:接收机信号发生器RMSSNRDUT 514

射频变压器的差分阻抗和共模阻抗是多少呢?

射频变压器的差分阻抗和共模阻抗是多少呢?

虽然可以当做balun,射频变压器仍然比较特殊,因为具有阻抗变换比,比如1:1、1:2、1:4等,而且单端阻抗不一定为常用的50 Ohm系统阻抗。...

2023-06-12 标签:SMT封装差分阻抗PCB走线DUT变压器 1589

SAW声表面波滤波器学习策略

SAW声表面波滤波器学习策略

声表面波技术是六十年代末期才发展起来的一门新兴科学技术领域,它是声学和电子学相结合的一门边缘学科。...

2023-06-12 标签:滤波器振荡器SAW换能器无源带通滤波器 1600

如何控制单片机的IO引脚输出高电平和低电平?

如何控制单片机的IO引脚输出高电平和低电平?

单片机的IO引脚就像人的手脚一样,单片机通过IO引脚与外界进行数据交换。可以输出电压信号来控制外部电路,也可以读取外部的电压信号。...

2023-06-12 标签:单片机led灯寄存器STM32F103电压信号 10484

介绍矢网的基础组成部分—反射计(Reflectometer)

介绍矢网的基础组成部分—反射计(Reflectometer)

矢量网络分析仪是射频微波器件测试必不可少的设备,主要用于测试器件的S参数及其衍生参数。大多数射频工程师都有接触,但是对于其基本组成及测试原理深度探究的并不多。...

2023-06-12 标签:接收机衰减器定向耦合器矢量网络分析仪DUT 672

流水灯与蜂鸣器声光报警设计实现

流水灯与蜂鸣器声光报警设计实现

所谓流水灯,就是LED灯轮流点亮,看起来就像水流一样流动着点亮。我们板子上一共有4个LED灯。我们每隔500MS点亮一个灯,然后熄灭这个灯,再点亮下一个灯。...

2023-06-12 标签:led灯寄存器流水灯蜂鸣器GPIO 1001

分享一种双电源并用问题的解决方案

分享一种双电源并用问题的解决方案

在集成度越来越高的电子产品上,往往同一块电路板上会设计多路、多种电源以供不同的需求使用。...

2023-06-12 标签:稳压二极管适配器双电源稳压管DC-DC电源 364

如何从Linux内核角度探秘Java NIO文件并读写本质呢?

如何从Linux内核角度探秘Java NIO文件并读写本质呢?

又在此基础之上介绍了针对 socket 文件的相关操作及其对应在内核中的处理流程:...

2023-06-12 标签:JAVASocketdmaLINUX内核vfs 539

被一个GPIO口搞死的一天

被一个GPIO口搞死的一天

不管我怎么修改我的软件配置,我们的PHY始终不能驱动成功,从内核日志里面就是读不到PHY的ID地址。...

2023-06-12 标签:示波器PHYGPIOMDIO 427

基于framebuffer的lvgl的移植使用

基于framebuffer的lvgl的移植使用

LittlevGL是一个免费的开源图形库,提供了创建嵌入式GUI所需的一切,具有易于使用的图形元素、漂亮的视觉效果和低内存占用。...

2023-06-12 标签:嵌入式系统Linux系统GUILVGL 1147

浅谈数码管的显示原理与常见驱动电路

浅谈数码管的显示原理与常见驱动电路

在做项目的时候,我们的产品经常需要显示一些数字,这个时候我们就需要使用一种叫LED数码管的器件。...

2023-06-12 标签:单片机led数码管数码管驱动芯片段码屏 4847

数码管驱动芯片TM1640的使用

数码管驱动芯片TM1640的使用

这里介绍了数据传输接口时序图。时序图,就是数据按照时间变换的图。时间是从左到右进行的,就像数学里的时间轴T。...

2023-06-12 标签:单片机led灯数码管驱动芯片TM1640 9682

噪声系数测试方案分析

噪声系数测试方案分析

对于放大器或者其他有源器件,由于本身就会有噪声,输出端信噪比和输入端信噪比不一样...

2023-06-12 标签:前置放大器接收机频谱分析仪ESADUT 652

永磁式步进电机参数介绍

永磁式步进电机参数介绍

步进电机(stepping motor)是一种将电脉冲信号转换成相应角位移或线位移的电动机。...

2023-06-12 标签:电动机步进电机电源适配器驱动芯片变压器 3539

can总线是怎样解决干扰问题的 CAN总线盲攻击的作用

can总线是怎样解决干扰问题的 CAN总线盲攻击的作用

CAN总线研究最初假设Obd-ii植入入侵检测技术的涌入需要更先进的攻击者。 没有什么能阻止植入物带来定制的硬件。...

2023-06-12 标签:CAN总线ecu 152

噪声系数测量—超量程方法

噪声系数测量—超量程方法

噪声系数分析仪通常是作为频谱仪的选件功能,配合标准噪声源,用Y因子法,测量分析被测件DUT的噪声系数。...

2023-06-12 标签:二极管衰减器电源控制DUT噪声系数分析仪 1412

单品解读系列辑:JL-2系列之NEMA插座

单品解读系列辑:JL-2系列之NEMA插座

JL-240TL旋锁式光控器插座系列产品均为户外灯具设计,用于接受旋锁式光控器对LED灯具进行管控。...

2023-06-12 标签:led插座 1700

在验证环境中开发Checks和Coverage的步骤

在验证环境中开发Checks和Coverage的步骤

Checks和coverage是覆盖率驱动的验证流程的关键。在验证环境中,Checks和coverage可以被定义在多个位置。...

2023-06-12 标签:VerilogUVMDUT 913

将RTL模块添加到Block Design的步骤

将RTL模块添加到Block Design的步骤

使用Vivado Block Design设计解决了项目继承性问题,但是还有个问题,不知道大家有没有遇到,就是新设计的自定义 RTL 文件无法快速的添加到Block Design中,一种方式是通过自定义IP,但是一旦设计...

2023-06-11 标签:RTL触发器GPIOVivadoFPGA开发板 2309

CW32L083实现SysTick精准微秒延时驱动

CW32L083实现SysTick精准微秒延时驱动

在做18B20时需要用到精准的微秒定时器。...

2023-06-11 标签:定时器RCCSystickRCCSystick定时器 179465

SK海力士宣布量产世界最高238层4D NAND闪存

SK海力士宣布量产世界最高238层4D NAND闪存

238层NAND闪存作为世界上最小体积的芯片,生产效率比上一代的176层提升了34%,成本竞争力得到了大幅改善。...

2023-06-11 标签:SSDNAND闪存固态硬盘PCIe接口 530

面向柔性智能光子学的仿生自驱动液晶执行器

面向柔性智能光子学的仿生自驱动液晶执行器

仿生学的进步极大地推动了先进机器人技术的兴起与发展,同时也为诸多科学技术领域带来了新的活力。...

2023-06-11 标签:机器人智能驱动器 494

编辑推荐厂商产品技术软件/工具OS/语言教程专题