电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>可编程逻辑>FPGA/ASIC技术>ModelSim+Synplify+Quartus的Alte

ModelSim+Synplify+Quartus的Alte

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

ModelSim安装破解问题

ModelSim6.4a安装破解完成后在Quartus2启动ModelSim仿真后ModelSim界面弹出来后马上关闭,同是WIN7系统,相同的安装方法,一台可以使用,另一台不行,这是为什么呢,求高手指导!!
2013-07-06 19:50:31

ModelSim的使用(Altera官网)

详细介绍了ModelsimQuartus联合仿真的流程及细节。
2014-01-26 21:46:44

Modelsim 中仿真 含有 PLL quartus 工程问题

最近,在 Modelsim 中仿真含有 PLL quartus 工程时,遇到了问题:Error: (vsim-3033) E:/Software_class/eda_study
2014-02-22 14:31:28

Modelsim-ALTERA的破解成功

Modelsim-ALTERA的破解今天照视频教程中想在Quartus中调用Modelsim仿真,先用Modelsim SE 6.2b,总是不好用,后来想想算了,还是下载个
2014-05-02 16:27:09

Modelsim-Altera 10.1d破解

本人下载安装的是官网提供的Quartus II13.0_sp1软件,使用过程中在进行功能仿真的时候,选择使用modelsim仿真总是会出现错误,得不到仿真结果,可是使用另一个选项Quartus II
2014-01-08 15:16:43

Modelsim仿真出错

各位,小弟最近遇到一个很奇怪的问题。我在使用modelsim进行仿真时,无论是通过quartus调用还是直接运行modelsim,都会遇到提示:“error loading design“,从而仿真
2015-08-30 22:14:39

Modelsim如何仿真一个工程文件

我之前是使用quartusModelsim联调的,直接从Quartus里调用。仿真的时候可以看到Quartus整个工程文件下的输入输出值和工程里各个模块的输入输出值都可以看到。但是我现在
2015-10-12 10:41:08

QUARTUSSynplify Pro

最近得到一个.qvm文件,网上查询得知是Synplify Pro综合产生,但对于该文件不是很了解,希望有大神给从零基础讲解一下。
2013-03-30 22:20:31

Quartus 安装错误

`There has been an errorError running E:桌面 Quartus Il13.1(64bit)Quar tus
2021-04-15 19:57:35

Quartus 13.0和Modelsim SE 10.1a 联合仿真

本帖最后由 蓝e 于 2014-11-25 15:35 编辑 Quartus 13.0和Modelsim SE 10.1a 联合仿真 1、首先在Quartus建立工程,编写HDL文件,进行编译
2014-02-28 16:49:16

Quartus 2 安装错误

安装quartus 2时出现这个error running C:\altera\13.1/ModelSimSetup-13.1.0.162.exe--mode unattended
2015-03-28 23:54:42

Quartus II 与 ModelSim 功能仿真与后仿真扫盲

本文主要描述了如何在QUARTUS II中输入程序文件,生成网表及标准延时文件,然后通过 MODELSIM进行功能仿真与后仿真的过程,主要为图解,含全部代码及仿真波形。 工具: 源程序
2012-08-12 16:12:54

Quartus II 与 ModelSim 联合仿真——Error处理

今天尝试联合仿真,期间发现两个问题,先坐下记录。1、ERROR:cannot launch the modelsim-altera software because you did
2017-04-24 19:08:20

Quartus16波形仿真提示缺少ModelSim文件

各位老师大家好,我在用Quartus16进行波形仿真的时候,遇到了这样的问题,提示缺少ModelSim文件,如图我的版本信息是各位老师能不能提点我一下,我实在不知如何解决。谢谢各位老师了先
2016-10-19 21:40:13

Quartus17.0调用Modelsim仿真PLL无输出

本人安装的是Quartus17.0-Lite(配套Modelsim-Altera)添加PLL的IP核,仿真的时候c0输出高阻,locked一直输出低;选用:Cyclone10
2017-11-05 11:59:40

Quartus调用ModelSim问题

Quartus调用ModelSim后一直显示loading...,请问怎么回事啊?ModelSim也打开了呀?
2015-08-03 09:21:59

modelsim-altera6.5破解和quartus调用

modelsim-altera6.5破解和quartus调用
2013-04-09 11:48:54

modelsim一仿真就自动关闭

请问 modelsim一仿真就自动关闭是怎么回事?使用的是 从ALTERA官网下载的Quartus II 网络版软件 ,安装之后就包含了modelsim10.1d(Quartus Ⅱ13.0),按照
2013-05-28 22:06:35

modelsim仿真

我在用quartus调用modelsim仿真波形时出现波形窗口,但没有信号名也没有波形,求高手给指点下
2013-08-27 11:12:03

modelsim仿真不出波形

刚着手FPGA学习,用quartus13.0和modelsim10.1c版本,源代码如下:编的textbeach如下:quartus的设置如下:用modelsim10.1c仿真时得不到波形,如下图:请大家帮忙解决下,谢谢大家了!!!
2016-04-13 17:50:42

modelsimQuartus使用问题

Quartus 使用的是12.0版本,modelsim使用的是modelsim se-64 10.4版本,在进行仿真时,出现以下错误:Fatal: Internal Error - vopt
2019-05-01 22:09:41

modelsim安装路径问题

装完quartus ii 后再装modelsim为什么总是提示安装路径不对啊,是英文文件夹而且是英文名字
2012-09-13 22:10:01

modelsim时序仿真总是出错为什么

在使用quartusii modelsim仿真时。。功能仿真可以好用,。但是时序仿真就出错。。无论是手动打开modelsim,还是直接调用。。时序仿真就是出错。。没有输出。。但是用quartus自带的vwf那个仿真时。。功能和时序仿真就都好用。。到底什么原因呢。。{:4:}。。谁能给指点一下
2013-11-26 21:06:56

quartus + modelsim 联合仿真问题

quartus+modelsim联合仿真的时候,但有的.v源文件进行仿真前,需要把它设置为顶层模块(源文件并没有语法错误),然后将工程分析和综合后,点击RTL simulation,就有结果了?
2016-08-07 13:39:10

quartus 17.1调用modelsim 出错

quartus 17.1调用modelsim 出错,显示TCL OPERATION LOADNOTSTATIC,应该怎么解决?
2020-07-09 09:10:19

quartus 2 11.0 调用 modelsim se 10.0c

什么原因。setting 里面的设置严格按照 教程上弄的,而且搜了好多这方面的资料,setting 没发现错误。我的软件quartus 2 11.0和 modelsim se 10.0c
2014-04-12 12:13:52

quartus II与modelsim联调仿真,输出一直是不定态

小弟,在Quartus II 调用modelsim联调时,发现如图下面的寄存器dq有正常的数据,但是将其赋值给data时,modelsim中的波形图一直时红色不定态线,代码在板子上已经能够正常运行,就是与modelsim的仿真有问题,折腾了好久不知道原因,贵请大佬指点!具体现象看下面的图片
2019-05-14 20:25:51

quartus ii 与modelsim-altera联合仿真

quartus ii 的原理图文件如何与modelsim-altera联合仿真?
2013-04-14 21:51:13

quartus 自带的modelsim 破解成功了但是还是显示找不到license

: alteramtivsim or alteramtivlog.modelsim仿真时的error modelsim我可以打开,没有显示未注册,但是我直接在quartus ii 里面的tool里面仿真就出现这个error 有没有大哥碰到过呀
2018-08-11 18:52:35

quartus2的modelsim仿真问题

quartus2怎么加入modelsim仿真,百度上说要破解,没有破解文件,求高手指导
2013-06-14 18:21:47

quartusModelsim 联合仿真自动退出

本帖最后由 srxh 于 2015-12-7 23:28 编辑 各位大神,求指点迷津!本人有个问题想请教下,quartus II 11与Modelsim6.6d联合仿真时,每次一点仿真进入
2015-12-06 23:52:25

quartus中调用modelsim遇到一些问题

软件版本是quartus11.0,modelsim_se10.0c。modelsim能单独打开,就是不能再quartus中使用,试过改路径设置,没用
2013-11-24 23:28:41

quartus调用modelsim仿真时弹出voptk.exe已停止工作

我用quartus 调用modelsim 仿真,执行tool - EDA RTL simulation 后modelsim 软件自动打开,然后他就开始编译,然后弹出voptk.exe已停止工作。
2015-03-05 11:35:21

quartus调用modelsim仿真时弹出voptk.exe已停止工作

我用quartus 调用modelsim 仿真,执行tool - EDA RTL simulation 后modelsim 软件自动打开,然后他就开始编译,然后弹出voptk.exe已停止工作。
2015-03-05 11:38:53

quartus调用modelsim时出错,有谁知道原因吧?谢谢!

quartus ii调用modelsim时出现图中的错误,不知道原因在哪?源程序都没有错误(别人已经验证),modelsim能打开,就是在最后才出现错误,如何才能解决,希望大家能帮我看一下,谢谢!!!
2014-08-14 16:43:48

quartus软件安装

Quartus II 12.1和Modelsim altera 10.1b安装和调用1、首先从官网下载两个软件:www.altera.com.cn 点击—>设计工具与服务—>设计软件,再
2016-05-19 14:19:43

quartus运行modelsim仿真出现“显示器驱动程序停止响应”

我的电脑是WIN764位的,安装了quartus ii 11.0和modelsim se 64 10.2c 。我是按照特权同学的视频做分频实验,程序和步骤都是和他一样的,但我一运行EDA RTL仿真
2014-02-26 19:04:24

FIR在单独用modelsim仿真

quartusmodelsim联合仿真容易出现问题,所以一般单独用modelsim仿真,附件是modelsim仿真的步骤
2017-02-17 20:21:18

FPGA Quartus ii 13.1 和modelsim10.1d破解遇到的问题(仅供学习交流 并非原创)

Altera(曾经的我以为QuartUS 破解了Modelsim Altera 10.1d也破解了,实则两者为两个独立的软甲,需分开破解)参考:modelism10.1c和modelsim
2015-07-24 23:21:50

FPGA调用quartus求助

刚开始学习FPGA,使用quartus2 13.0调用modelsim-altera时,能够成功打开modelsim,却没有波形,工程是开发板配套的例程,应该没有错,text bench是工程自带
2014-12-12 12:09:51

ISE,modelsimsynplify是什么关系?

本帖最后由 hxing 于 2016-3-15 14:01 编辑 ISE,modelsimsynplify是什么关系? 以前我也没搞清楚,看到有网友提问、回复这个问题,就分享一下。网友
2016-03-15 13:40:51

Modelsim常见问题】Can't launch the ModelSim-Altera software

path.问题原因 Quartus II软件中与Modelsim关联设置不对,即modelsim路径设置有误。解决方法Tools-> Options -> General -> EDA Tool Options补充解决方案:如果上述方法设置后任旧不能成功,在路径的最后面加上一个右斜线\
2020-02-13 20:50:54

为什么quartus综合没有报错而modelsim rtl仿真就报错了

为什么quartus综合没有报错而modelsim rtl仿真就报错了
2015-09-24 11:02:16

使用quartus17.0调用altera_modelsim仿真scfifo出现下面错误

使用quartus17.0调用altera_modelsim仿真一个scfifo,出现下面的错误:PORT'eccstatus' not found in the connected module.
2018-08-20 20:55:27

关于 modelsim-altera 的问题咨询

我之前安装好了quartus12.0,但是没有下载安装modelsim-Altera。后来在官网上下载了一个modelsim-Altera 10.0 d。 但是在安装modelsim
2013-08-26 13:48:37

关于Modelsim的使用方法请教

我是通过quartus来调用Modelsim的,比如程序写完之后综合完成后调用Modelsim进行仿真。但是如果发现仿真的结果不对,我就要去返回修改verilog代码,再编译综合。那么问题来了,此时
2015-10-10 11:33:01

关于modelsim的仿真问题

想要仿真quartus下工程中的一个IP核,但是不知道怎么样才能把这个IP核弄到modelsim下进行仿真,求指教!!!!!
2014-04-04 00:36:25

关于调用modelsim

quartus11.0中调用modelsim_altera_ase时,出现了modelsim窗口,但是没有出现任何仿真波形,请问是怎么回事,谢谢
2013-11-15 22:35:52

在Altera Quartus II下如何调用ModelSim进行仿真?

在Altera Quartus II下如何调用ModelSim进行仿真?
2021-04-30 07:15:55

如何使用quartus ii 和modelsim -ae 快速进行Testbench功能仿真

延迟,能较好地反映芯片的实际工作情况。选定了器件分配引脚后在做后仿真。二.仿真前的准备工作:这里将使用Quartus II13.1和Modelsim Ae进行功能仿真(Modelsim Ase 版本
2015-11-29 21:35:23

我的ModelSim初学心得

,有了这个体会之后,结合书上的教程,理解库以及modelsim的各个窗口用途就比较容易了。然后再尝试结合Quartus使用。3、 Quartus中,选中modelsim作为仿真工具之后,在
2012-03-01 10:55:17

新人求助关于modelsim仿真的问题

最近几天在学习用quartus调用modelsim进行仿真,可是仿真的时候一直出现这种情况,一直处于loading的状态。求帮助。另外我的版本是quartus9,modelsim10.1c64位。重装也没用。
2014-10-26 16:12:08

最实用的Modelsim使用教程

等,比quartus自带的仿真器功能强大的多,是目前业界最通用的仿真器之一。 对于初学者,modelsim自带的教程是一个很好的选择,在Help->SE PDF
2024-03-19 16:40:15

Quartus 13.0和Modelsim SE 10.1a 联合仿真的详细说明资料吗?

请问谁有有Quartus 13.0和Modelsim SE 10.1a 联合仿真的详细说明资料吗?希望回复?谢谢
2014-11-25 10:18:00

有关modelsim仿真fft核出现的错误

我的quartus ii 版本13.1fft核版本13.1 modelsim版本64位 10.4在quartus ii 中使用rtl仿真时(已经在quartus ii中编译成功) 弹出
2019-02-26 16:21:08

求助:关于quartus II 和 modelsim 联合仿真设置问题

quartus 调用modelsim 的时候报错:Error (22035): Failed to launch NativeLink simulation (quartus_sh -t
2016-03-30 12:37:39

Quartus II V13.0的VWF仿真时提示“ModelSim-Altera was not found”怎么解决

我在用Quartus II V13.0的VWF仿真时提示“ModelSim-Altera was not found”。我确认ModelSim-Altera的路径已设置为D:\altera\13.1
2018-02-05 11:56:26

请问modelsim仿真出现如下问题怎么解决?

/EDA/fenping/simulation/modelsim/rtl_work". (Format: 4)我是直接用quartus Ⅱ调用modelsim,前面一个没有问题,重新新建一个文件夹写一个再一个调用仿真就出现这个。
2020-03-05 20:10:26

请问在ubuntu下安装quartusmodelsim_ase后,怎么手动打开呢

quartus我通过安装完毕直接运行,然后固定到启动栏,这样就能打开了但是modelsim怎么手动打开呢,不知道哪个文件是启动文件版本号是17.0,intelFPGA那个版本,系统是ubuntu1604
2018-03-15 13:03:22

请问我的Quartus在编译后自动调用ModelSim 就会仿真失败

求助:请问我的Quartus在编译后自动调用ModelSim 就会仿真失败# Error loading design# Error: Error loading design #Pausing
2013-12-24 21:26:05

Synplify DSP 2.61

Synplify DSP 2.61
2006-03-25 12:32:0047

Synplify Pro 8.1 下载

Synplify Pro 8.1半导体设计及验证软件供应商Synplicity公司近日对其可编程逻辑器件(PLD)综合软件Synplify Pro 8.1进行了改进。Synplify Pro软件支持Verilog-2001标准以及新器件
2009-03-21 11:49:060

基于Quartus II + ModelSim SE的后仿真

首先大家必须把Quartus II和ModelSim SE都安装好,并成功破解,这个就不说了。
2009-07-22 15:25:100

基于Quartus II + ModelSim SE的后仿真

首先大家必须把Quartus II和ModelSim都安装好,并成功破解,在这里这个就不说了.
2009-07-22 15:43:480

Quartus II与ModelSim功能仿真与后仿真扫盲

本文主要描述了如何在 QUARTUS II 中输入程序文件,生成网表及标准延时文件,然后通过MODELSIM 进行功能仿真与后仿真的过程,主要为图解,含全部代码及仿真波形。
2009-07-22 15:44:530

ModelSim,synplify,ISE后仿真流程

我把我用到的软件说明一下。如果你发现根据我的操作,你还是解决不了ModelSim后仿真的问题,那就可能是软件版本的问题。
2009-07-22 15:46:270

Synplify工具使用指南

Synplify工具使用指南:关键词Synplify synthesis 综合 Script 脚本 Tcl FPGA Verilog摘要:本文的目的是为Synplify的使用提供帮助,包括三个主要内容,第一部分快速入门,介绍基本的工作流程
2009-11-01 15:20:06136

ModelSim使用教程

ModelSim使用教程 ModelSim OEM入门教程
2010-04-14 11:35:040

Quartus II 里使用Modelsim 仿真

当我们使用QuartusII,但是大多数朋友都习惯用Modelsim SE来做仿真,由于Quaruts有很多本身器件的特色,所以造成了在仿真上的麻烦,当然网路上也有一些讲解,但是都是不太系统,特
2010-06-24 17:57:18216

基于Synplify的FPGA高级综合设计

Synplify /Synplify Pro简介 综合工具在FPGA的设计中非常重要,类似于C语言的编译器将C语言翻译成机器能执行的代码,综合工具将HDL描述的语句转换为EDA工具可以识别的格式(EDF格式),对
2011-03-30 10:15:27163

Altera ModelSim 6.5仿真入门教程

Altera ModelSim 6.5仿真入门教程,需要的可自行下载。 平台 软件:ModelSim-Altera 6.5e (Quartus II 10.0) Starter Edition 内容 1 设计流程 使用ModelSim仿真的基本流程为: 图1.1 使用 ModelSim仿真的基本
2012-08-15 15:40:24255

synplify基础知识说明

synplify基础知识说明
2016-06-17 17:40:4925

quartus是什么_quartus有什么用

本文首先介绍了quartus的概念,其次介绍了Quartus性能特点,最后介绍了_quartus的作用。
2018-05-18 09:50:4424212

关于quartus如何调用modelsim详细解说

本文主要详细介绍了在Quartus II 11.0中调用ModelSim-Altera 6.5e,另外还介绍了Quartus II调用modelsim无缝仿真教程。
2018-05-18 10:39:1634625

FPGA视频教程之Quartus.II调用ModelSim仿真实例详细资料说明

本文档的主要内容详细介绍的是FPGA视频教程之Quartus.II调用ModelSim仿真实例详细资料说明。
2019-03-05 10:46:4613

Quartus.II调用ModelSim仿真实例

如果是第一次使用modelsim,需要建立Quartus ii12.0和modelsim的链接。Quartus II12.0-》Tools-》option-》EDA Tool options再选择自己的软件和对应的安装文件夹。
2019-03-07 15:45:1824331

FPGA的视频教程之modelsimquartus的使用详细资料说明

本文档的主要内容详细介绍的是FPGA的视频教程之modelsimquartus的使用详细资料说明。
2019-03-26 16:55:2032

如何在Quartus13.0中调用Modelsim详细的步骤说明

本文档的主要内容详细介绍的是结合实例,通过图片及配套文字解说,分步骤详细介绍了Quartus13.0环境中调用Modelsim进行功能仿真。
2019-07-01 08:00:007

FPGA的Quartus ModelSim的安装介绍和使用等基本操作资料免费下载

本文档的主要内容详细介绍的是FPGA的QuartusModelSim等安装介绍和使用等基本操作资料免费下载。
2020-03-23 15:49:3223

SynplifyQuartusⅡ逻辑锁设计流程

为了最大限度地利用Quartus?II设计软件LogicLockTM的增量设计功能,可以将新设计划分为Verilog Quartus映射(.vqm)文件的层次结构。这种层次结构允许设计者更好地控制
2021-01-15 14:38:0011

基于ModelSim使用二联合Quarus自动仿真教程

ModelSim 自动仿真,所谓自动仿真,其实是在 Quartus II 中调用 ModelSim 软件来进行仿真。 在调用过程中,Quartus II 会帮我们完成 ModelSim 中的所有操作,我们
2021-07-23 10:51:171710

基于ModelSim使用四ModelSim手动仿真教程

4.1 新建仿真工程 在开始动手仿真之前,首先,我们需要创建一个文件夹用来放置我们的 ModelSim 仿真工程文件,这里我们就在之前创建的 Quartus 工程目录下的 simulation
2021-07-23 11:10:483514

基于ModelSim使用modelsim手动时序仿真教程

)文件和延时(.sdo)文件,其实我们在自动仿真的配置仿真功能中已经生成了,当我们配置好仿真功能之后,我们在 Quartus 进行一次全编译。 这时,我们打开 Quartus 工程目录
2021-07-23 11:55:411915

ModelSim手动仿真教程

在开始动手仿真之前,首先,我们需要创建一个文件夹用来放置我们的 ModelSim 仿真工程文件,这里我们就在之前创建的 Quartus 工程目录下的 simulation 文件夹中创建
2022-07-11 10:58:094458

modelsim波形仿真的基本操作了解

在刚接触modelsim时,被其繁复的操作流程所困,一度只能依靠在quartus中修改代码编译后再重启modelsim,自动导入才能得到波形。这样的操作最大的问题就是修改代码的成本巨大。每次更新波形
2022-11-29 09:52:132374

已全部加载完成