0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

用代码实现数字时钟功能及进行modelsim仿真

电子硬件DIY视频 来源:电子硬件DIY视频 2019-12-02 07:01 次阅读

ModelSim是业界最优秀的HDL语言仿真软件,它能提供友好的仿真环境,是业界唯一的单内核支持VHDL和Verilog混合仿真的仿真器。它采用直接优化的编译技术、Tcl/Tk技术、和单一内核仿真技术,编译仿真速度快,编译的代码与平台无关,便于保护IP核,个性化的图形界面和用户接口,为用户加快调错提供强有力的手段,是FPGA/ASIC设计的首选仿真软件。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1602

    文章

    21317

    浏览量

    593152
  • 仿真
    +关注

    关注

    50

    文章

    3872

    浏览量

    132154
  • ModelSim
    +关注

    关注

    5

    文章

    172

    浏览量

    46756
收藏 人收藏

    评论

    相关推荐

    如何使用quartus ii 和modelsim -ae 快速进行Testbench功能仿真

    一下两者的区别。1 前仿真仿真也称为功能仿真,主旨在于验证电路的功能是否符合设计要求,其特点是不考虑电路门延迟与线延迟,主要是验证电路与理
    发表于 11-29 21:35

    ISE是如何调用ModelSim进行仿真

    在我们ModelSim仿真的时候经常是修改一点一点修改代码,这样会造成一个无奈的操作循环:修改代码--->编译
    发表于 06-03 09:11

    Quartus II与ModelSim功能仿真与后仿真扫盲

    本文主要描述了如何在 QUARTUS II 中输入程序文件,生成网表及标准延时文件,然后通过MODELSIM 进行功能仿真与后仿真的过程,主
    发表于 07-22 15:44 0次下载

    使用ModelSim进行设计仿真

    ModelSim为HDL仿真工具,我们可以利用该软件来实现对所设计的VHDL或Verilog程序进行仿真,支持IEEE常见的各种硬件描述语言
    发表于 04-19 20:52 151次下载

    使用 ModelSim 进行设计仿真

    ModelSim为HDL仿真工具,我们可以利用该软件来实现对所设计的VHDL或Verilog程序进行仿真,支持IEEE常见的各种硬件描述语言
    发表于 05-27 16:41 132次下载
    使用 <b class='flag-5'>ModelSim</b> <b class='flag-5'>进行</b>设计<b class='flag-5'>仿真</b>

    Modelsim功能仿真和时序仿真

    ModelSim 进行功能仿真,进行功能仿真首先要
    发表于 11-13 15:35 8590次阅读

    使用 ModelSim 进行设计仿真详解

    本章为ModelSim的初级教程,读者读完本章可以较为熟练的使用ModelSim进行设计仿真,本章没有也不可能涉及ModelSim的各个方面
    发表于 12-24 18:29 0次下载

    华清远见FPGA代码-SDRAM读写控制的实现Modelsim

    华清远见FPGA代码-SDRAM读写控制的实现Modelsim仿真
    发表于 10-27 18:07 26次下载

    Xilinx ISE是如何调用ModelSim进行仿真

    在我们用ModelSim仿真的时候经常是修改一点一点修改代码,这样会造成一个无奈的操作循环:修改代码--->编译代码--->
    发表于 02-11 15:25 1w次阅读
    Xilinx ISE是如何调用<b class='flag-5'>ModelSim</b><b class='flag-5'>进行</b><b class='flag-5'>仿真</b>的

    modelsim仿真详细过程(功能仿真与时序仿真

    modelsim仿真详细过程(功能仿真与时序仿真).ModelSim不仅可以用于
    发表于 12-19 11:14 6.4w次阅读
    <b class='flag-5'>modelsim</b><b class='flag-5'>仿真</b>详细过程(<b class='flag-5'>功能</b><b class='flag-5'>仿真</b>与时序<b class='flag-5'>仿真</b>)

    仿真软件ModelSim及其应用,ModelSim仿真流程

    ModelSim不仅可以用于数字电路系统设计的功能仿真,还可以应用于数字电路系统设计的时序仿真
    的头像 发表于 12-29 11:35 9259次阅读

    关于使用quarts2联合modelsim进行仿真

    -altera!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!! 5.然后我们就可以进行写verilogHDL代码,和仿真程序 这里贴一个实例程序 6.然后
    发表于 03-16 16:13 925次阅读
    关于使用quarts2联合<b class='flag-5'>modelsim</b><b class='flag-5'>进行</b><b class='flag-5'>仿真</b>

    modelsim自动化仿真实验 利用脚本实现modelsim自动化仿真

    大家好!今天给大家带来的是modelsim自动化仿真程序。我们在代码编写完成时,通常都需要先进行仿真,然后上板实验。但是如果我们每次
    的头像 发表于 07-19 10:10 1062次阅读
    <b class='flag-5'>modelsim</b>自动化<b class='flag-5'>仿真</b>实验 利用脚本<b class='flag-5'>实现</b><b class='flag-5'>modelsim</b>自动化<b class='flag-5'>仿真</b>

    Vivado调用Modelsim仿真

    Modelsim是十分常用的外部仿真工具,在Vivado中也可以调用Modelsim进行仿真,下面将介绍如何对vivado
    的头像 发表于 07-24 09:04 1990次阅读
    Vivado调用<b class='flag-5'>Modelsim</b><b class='flag-5'>仿真</b>

    如何使用 ModelSim 进行设计仿真

    ModelSim为HDL仿真工具,我们可以利用该软件来实现对所设计的VHDL或Verilog程 序进行仿真,支持IEEE常见的各种硬件描述语
    发表于 01-14 09:47 0次下载