0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Vivado 2018.3 report_qor_suggestions怎么用

电子工程师 来源:lq 2019-01-15 16:48 次阅读

从Vivado 2018.3开始,可以通过图形界面方式使用命令report_qor_suggestions,如图1所示。从Tcl角度而言,就是多了一个-name选项。

图1

report_qor_suggestions的功能是在分析设计的基础上给出改善设计的建议,这些建议可以用.xdc或.tcl文件的形式体现出来,无论是Project模式还是Non-Project模式,这些文件均可加入工程中使用最终达到优化设计的目的。本质上,这些建议是与UFDM(UltraFast Design Methodology)保持一致的。

在图形界面方式下使用report_qor_suggestions会显示如图2所示界面,最终生成如图3所示的Summary。

图2

图3

点击Apply Suggestions按钮,如图4所示,会弹出图5所示界面。最终会生成针对设计的优化建议,也就是前文所述的.xdc或.tcl文件。

图4

图5

在图5中,Manual用于显示无法以.xdc或.tcl形式提供的建议。Synth and Impl用于生成可应用于Synthesis和Implementation阶段的建议,而Impl用于生成仅适用于Implementation阶段的建议。

如果选择Synth and Impl,会生成两个文件:RQSPreSynth.tcl和RQSImplCommon.tcl。Project模式下,RQSPreSynth.tcl可作为约束文件直接添加到Constraint Set里(Vivado支持.tcl文件作为约束文件,添加时将文件类型切换为.tcl即可,如图6所示)。

图6

RQSImplCommon.tcl则应作为Hook Script在Opt Design阶段添加,如图7所示。

图7

Non-Project模式下,这两个文件的添加方式如图8所示。

图8

如果选择Impl,则会生成两个文件RQSPreImpl.tcl和RQSImplCommon.tcl。两者添加位置如图9所示。

图9

Non-Project模式下,这两个文件的添加方式如图10所示。

图10

对于Vivado 2018.3之前的版本,尽管没有图形界面方式,但可直接通过Tcl命令执行。添加-output_dir选项,指定文件生成目录,可在指定位置生成上述文件。需要注意的是RQSPreSynth.tcl和RQSPreImpl.tcl两者是不能同时使用的。

对于一些以DSP、BRAM或URAM为起点或终点的路径,如果这些模块自带的一些流水寄存器没有使能,可通过选项-evaluate_pipelining生成额外的约束使能这些流水寄存器。但要特别注意,这时设计的功能已经被修改,生成的约束只能用于评估这些流水寄存器被使能的情况下对设计时序的影响。就时序评估而言,相比于通过修改RTL代码使能这些寄存器,采用选项-evaluate_pipelining更快捷。如果使能这些寄存器能改善时序,那么可以再返回修改RTL代码,保证设计功能正确。

常见问题:

Q: 什么阶段使用report_qor_suggestions?

A: 该命令可在Synthesis和Implementation的任意阶段使用,但从Baselining的角度而言,最先在哪个阶段发现时序违例,就在那个阶段使用。

Q: 该命令生成的.tcl文件在哪里?

A: 如果直接在Tcl Console中输入该命令,相应的.tcl文件生成目录由选项-output_dir确定;如果是通过图形界面方式使用,相应的.tcl文件在当前工作目录下。当前工作目录可通过命令pwd查询。

结论

report_qor_suggestions会在分析关键路径的基础上给出优化建议,生成相应的.tcl文件,而这些文件可加入工程中执行达到优化的目的。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 寄存器
    +关注

    关注

    30

    文章

    5028

    浏览量

    117715
  • Vivado
    +关注

    关注

    18

    文章

    790

    浏览量

    65093

原文标题:Vivado 2018.3 report_qor_suggestions怎么用

文章出处:【微信号:Lauren_FPGA,微信公众号:FPGA技术驿站】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    如何在实现流程中将RQA与RQS结合使用的设计示例

    通过之前的博文,我们已经学会了如何使用 Report QoR Assessment (RQA) 和 Report QoR Suggestions
    的头像 发表于 09-29 11:11 3563次阅读
    如何在实现流程中将RQA与RQS结合使用的设计示例

    安装Vivado 2015.1时出错

    你好,我正在尝试安装Vivado 2015.1。我的操作系统是Debian Jessie。当我输入./xsetup开始安装时,我收到以下错误:错误:32位平台不支持此安装然后我uname来检查
    发表于 12-10 10:45

    为什么不用2017.4或者2018.3呢?最稳定的vivado版本是哪一种?

    很多开发人员都经历过使用不稳定开发环境导致奇怪问题的经历,为了避免大家重走冤枉路,集众多开发工程师智慧,寻找最稳定的vivado版本,为什么不用2017.4,或者2018.3呢?
    发表于 06-24 09:31

    求分享vivado2018.3破解版

    请问,哪位朋友有vivado2018.3破解版,谢谢!
    发表于 08-24 09:01

    Vivado 2018.3这个Gadget你用了吗?

    以上图中的Type选取Timing为例,Reports一栏可以浏览到的报告如下图所示。这里,这些报告之所以可见,是因为事先在设置Implementation Settings时,将其中的Report Options对应的Strategy设置为Timing Closure Reports。
    的头像 发表于 01-08 12:01 6806次阅读
    <b class='flag-5'>Vivado</b> <b class='flag-5'>2018.3</b>这个Gadget你用了吗?

    关于Vivado 2019.1的Dashboard功能详解

    关于Vivado Dashboard的功能可阅读这篇文章(Vivado 2018.3这个Gadget你用了吗)Vivado 2019.1的Dashboard功能进一步增强。
    的头像 发表于 06-12 14:49 7760次阅读
    关于<b class='flag-5'>Vivado</b> 2019.1的Dashboard功能详解

    Report QoR Suggestions助力解决Vivado设计问题

    Report QoR Suggestions (RQS) 可识别设计问题,并提供工具开关和可影响工具行为的设计单元属性的解决方案,即便在无法自动执行解决方案的情况下也可提供文本修改建议。
    的头像 发表于 01-24 17:27 2672次阅读
    <b class='flag-5'>Report</b> <b class='flag-5'>QoR</b> <b class='flag-5'>Suggestions</b>助力解决<b class='flag-5'>Vivado</b>设计问题

    解决方法论问题可提升实现的一致性

    您还可在 Report QOR Assessment 中查看 Report Methodology 的精简版本。RQA 报告将详列影响 QoR 和工具变化的不合规的方法检查。要获取完整
    的头像 发表于 06-28 10:47 2195次阅读
    解决方法论问题可提升实现的一致性

    FPGA设计:关于Report QoR Assessment 命令的使用

    Report QoR Assessment (RQA) 用于详述您的设计 QoR 目标实现的可能性。如果此命令返回的结果与您的期望不符,那么本篇博文包含了有关您可采取的后续行动的附加信息。本篇
    的头像 发表于 09-29 13:49 3133次阅读
     FPGA设计:关于<b class='flag-5'>Report</b> <b class='flag-5'>QoR</b> Assessment 命令的使用

    基于Vivado/SDK 2018.3的XIP参考设计

    Without External Memory,把代码和只读数据放在QSPI Flash中运行程序,这就是execute in place (XIP)。 上述XIP参考设计工作正常,但是只更新到了Vivado
    的头像 发表于 10-09 10:09 2901次阅读

    Vivado那些事】Vivado下怎么查看各子模块的资源占用?

    完成Implementation后,在Vivado IDE左侧的Flow Navigator点击Open Implemented Design,然后点击report_utilization。
    发表于 02-24 07:41 10次下载
    【<b class='flag-5'>Vivado</b>那些事】<b class='flag-5'>Vivado</b>下怎么查看各子模块的资源占用?

    赛灵思Vivado ML版优化应用设计

    Vivado HLx 版本相比,Vivado ML 版将复杂设计的编译时间缩短了 5 倍,同时还提供了突破性的平均达 10% 的结果质量( QoR )提升。
    的头像 发表于 07-02 16:40 2450次阅读
    赛灵思<b class='flag-5'>Vivado</b> ML版优化应用设计

    如何建立Vivado工程以及硬件配置

    注意:目前这个是Micrium官网的最新版本,该版本支持Vivado2019.1。但测试使用的是Vivado2018.3
    的头像 发表于 08-01 11:53 2305次阅读

    Vivado使用进阶:读懂用好Timing Report

    《XDC 约束技巧》系列中讨论了XDC 约束的设置方法、约束思路和一些容易混淆的地方。我们提到过约束是为了设计服务,写入 Vivado中 的 XDC 实际上就是用户设定的目标,Vivado
    的头像 发表于 05-04 11:20 2735次阅读
    <b class='flag-5'>Vivado</b>使用进阶:读懂用好Timing <b class='flag-5'>Report</b>

    Vivado中利用Report QoR Suggestions提升QoR

    Report QoR Suggestions (RQS) 可识别设计问题,并提供工具开关和可影响工具行为的设计单元属性的解决方案,即便在无法自动执行解决方案的情况下也可提供文本修改建议。
    的头像 发表于 07-19 10:38 738次阅读
    在<b class='flag-5'>Vivado</b>中利用<b class='flag-5'>Report</b> <b class='flag-5'>QoR</b> <b class='flag-5'>Suggestions</b>提升<b class='flag-5'>QoR</b>