0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

FPGA学习系列:27. VGA驱动设计

FPGA学习交流 2018-08-13 13:45 次阅读

设计背景:

VGA(Video Graphics Array) 视频图形阵列,IBM1987年随PS/2(PersonalSystem 2)一起推出的使用模拟信号的一种视频传输标准。这个标准对于现今的个人电脑市场已经十分过时。但在当时具有分辨率高显示速率快颜色丰富等优点在彩色显示器领域取得了广泛的应用,是众多制造商所共同支持的一个低标准。

设计原理:

VGA的实体图与接口示意图,如下图所示,它有15个针孔:


在开发板(ZX_1)中,VGA电路原理图如下图所示:

image.png


通过原理图,我们不难发现,VGA需要我们控制的接口只有5个:

image.png


显示器的扫描规律是什么?本设计采用逐行扫描,逐行扫描是扫描从屏幕左上角一点开始,从左向右逐点扫描,每扫描完一行,电子束回到屏幕的左边下一行的起始位置,在这期间,CRT对电子束进行消隐,每行结束时,用行同步信号进行行同步;当扫描完所有的行,形成一帧,用场同步信号进行场同步,并使扫描回到屏幕左上方,同时进行场消隐,开始下一帧。通过这种扫描规律,很容易看出,在设计两个有效范围计数器时,场同步信号计数器是以行同步信号计数器为周期的。

VGA的显示标准如下表所示:

image.png


对于普通的VGA显示器都要严格遵循“VGA工业标准”,否则可能会损害VGA显示器,因此我们在设计时VGA控制器时,都需要参考显示器的显示标准,下面是VGA的行扫描时序与场扫描时序:

行扫描时序:

image.png


场扫描时序:

image.png


根据上述显示器的扫描参数以及扫描时序,例如800*600@60的显示模式,60指得是显示器图像的刷新频率,时钟40MHz指得是一个像素输出的频率。800*600VGA的分辨率,指有效显示区域为时序中的c段只有800*600,也就是行计数在[216,1016],列计数在[27,627],在这个范围内,给RGB色值才会有效。

VGA 工业标准显示模式要求:行同步、场同步都为负极性,即同步脉冲要求是负脉冲。行同步信号上电拉高,在行同步计数为0时拉低a个时钟周期,即128,之后拉高,在行同步计数到1055时,行同步计数器清零,场同步计数器加1。在行扫描时序中,扫描计数时,周期就是一个像素点的时间。

场同步信号上电拉高,在场同步计数为0时拉低场同步a个时钟周期,即4之后拉高,在场同步计数到627场同步计数器清零。

VGA控制器中,还需要控制三个接口,即三种基色(RGB),它们共专用8位,分别是Red3位,Green3位,Blue3位,所以可以显示256种颜色,RGB数据的格式如下表所示:

image.png


设计架构图:

本设计选择的VGA显示标准为800*600@60,实现点亮整个屏幕,并显示为全红。通过分析设计的功能,可以得到如下的顶层架构:

image.png


顶层模块端口列表如下:

image.png


vga_pll模块是为了满足分辨率800*600@60的时钟为40MHz,而ZX_1开发板的系统时钟为50MHz,通过锁相环,将50MHz转化为40MHzvga_control模块是为了设定行场同步信号,并标定出有效显示区域,并输出控制颜色的po_rgb信号。为了便于移植,根据800*600@60分辨率下的参数,对其进行参数化定义。

设计代码:

VGA控制器代码:

0modulevga_control (pi_clk,pi_rst_n,po_hs,po_vs,po_rgb);

1

2inputpi_clk,pi_rst_n;//系统时钟复位

3outputregpo_vs;//VGA场同步信号

4outputregpo_hs;//VGA行同步信号

5output[7:0]po_rgb;//VGA场红绿蓝三基色

6

7//----------------VGA时序-----------------------------------

8// 显示模式 时钟

9// 800*600@60 40MHz

10///场 同步(a) 消隐后沿(b) 有效显示(c) 消隐前沿(d) 扫描时间(e)

11//hs 128 88 800 40 1056

12//vs 4 23 600 1 628

13

14// (Horizontal)扫描 Parameter (像素)

15parameterH_A =128;

16parameterH_B =80;

17parameterH_C =800;

18parameterH_D =40;

19parameterH_E =1056;

20

21

22// (Vertical)扫描 Parameter (行数)

23parameterV_A =4;

24parameterV_B =23;

25parameterV_C =600;

26parameterV_D =1;

27parameterV_E =628;

28

29//行扫描计数器,

30reg[10:0]hcnt;

31

32always@(posedgepi_clk ornegedgepi_rst_n)

33begin

34if(!pi_rst_n)

35hcnt <=11'd0;

36else

37begin

38if(hcnt ==(H_E -1'b1))//扫描完一行像素

39hcnt <=11'd0;

40else

41hcnt <=hcnt +1'b1;

42end

43end

44

45//场扫描计数器

46reg[10:0]vcnt;

47

48always@(posedgepi_clk ornegedgepi_rst_n)

49begin

50if(!pi_rst_n)

51vcnt <=11'd0;

52elseif(vcnt ==(V_E -1'b1))

53vcnt <=11'd0;

54elseif(hcnt ==(H_E -1'b1))

55vcnt <=vcnt +1;

56end

57

58//行同步输出

59always@(posedgepi_clk ornegedgepi_rst_n)

60begin

61if(!pi_rst_n)

62po_hs <=1'b1;

63elseif(hcnt <H_A)

64po_hs <=1'b0;

65else

66po_hs <=1'b1;

67end

68

69//assign po_hs = (hcnt <= H_A - 1'b1) ? 1'b0 : 1'b1;

70

71//场同步输出

72always@(posedgepi_clk ornegedgepi_rst_n)

73begin

74if(!pi_rst_n)

75po_vs <=1'b1;

76elseif(vcnt <V_A)

77po_vs <=1'b0;

78else

79po_vs <=1'b1;

80end

81

82//assign po_vs = (vcnt <= V_A - 1'b1) ? 1'b0 : 1'b1;

83

84wirergb_en;

85

86assignrgb_en =(hcnt >=H_A +H_B &&hcnt <H_A +H_B +H_C)&&

87(vcnt >=V_A +V_B &&vcnt <V_A +V_B +V_C)?1'b1:1'b0;

88

89assignpo_rgb =rgb_en ?8'b111_000_00:8'b0000_0000;

90

91endmodule


顶层文件如下所示:

0modulevga_display_pure (pi_clk,pi_rst_n,po_hs,po_vs,po_rgb);

1

2inputpi_clk,pi_rst_n;//系统时钟复位

3outputpo_vs;//VGA场同步信号

4outputpo_hs;//VGA行同步信号

5output[7:0]po_rgb;//VGA场红绿蓝三基色

6

7//----------------VGA时序-----------------------------------

8// 显示模式 时钟

9// 800*600@60 40MHz

10///场 同步(a) 消隐后沿(b) 有效显示(c) 消隐前沿(d) 扫描时间(e)

11//hs 128 88 800 40 1056

12//vs 4 23 600 1 628

13

14wirevga_clk;

15

16vga_pll vga_pll_dut(

17.areset(~pi_rst_n),

18.inclk0(pi_clk),

19.c0(vga_clk)

20);

21

22vga_control vga_control_dut(

23.pi_clk(vga_clk),

24.pi_rst_n(pi_rst_n),

25.po_hs(po_hs),

26.po_vs(po_vs),

27.po_rgb(po_rgb)

28);

29

30endmodule

通过编译后生成的RTL视图如下:

image.png

为了验证本设计的逻辑正确性,我们先对其进行了仿真,在仿真时,为了减少仿真的时间,先将行、场扫描的对应参数,进行了缩放,这样不仅节约了仿真时间,同时由于扫描数据量变少,更加便于分析观察。其仿真代码所示;

0`timescale1ns/1ps//仿真时间精度时间单位

1

2modulevga_display_pure_tb;

3

4regpi_clk,pi_rst_n;//系统时钟复位

5wirepo_vs;//VGA场同步信号

6wirepo_hs;//VGA行同步信号

7wire[7:0]po_rgb;//VGA场红绿蓝三基色

8

9//初始化数据,并附相应初值

10initialbegin

11pi_clk =0;

12pi_rst_n =0;

13#200.1pi_rst_n =1;

14

15end

16

17vga_display_pure vga_display_pure_inst (

18.pi_clk(pi_clk),

19.pi_rst_n(pi_rst_n),

20.po_hs(po_hs),

21.po_vs(po_vs),

22.po_rgb(po_rgb)

23);

24

25always#10pi_clk =~pi_clk;//50MHz时钟描述

26

27endmodule


仿真图:

image.png


rgb_en信号,只有当po_vspo_hs同时为高电平时,才有效,并且有po_rgb Red基色信号输出,时序仿真细节图如下所示:

image.png


通过观察和分析时序图,可以发现与设计吻合,接下来则可进行管脚分配,并下板验证,验证结果如下:


声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1602

    文章

    21320

    浏览量

    593155
收藏 人收藏

    评论

    相关推荐

    FPGA学习笔记-入门

    大概10年前,大学同学建议我学习DSP。当因为工作忙,也只是简单学习了DSP的一些基础知识,没有进一步深入学习和时间。结果现在,好像DSP已经不再是主流了,现在有了FPGA。 现在想想
    发表于 04-09 10:55

    fpga芯片系列介绍

    FPGA芯片系列众多,不同厂商会推出各具特色的产品系列以满足不同的应用需求。以下是一些主要的FPGA芯片系列
    的头像 发表于 03-14 16:15 293次阅读

    fpga学习需要具备哪些课程

    FPGA(Field Programmable Gate Array)学习需要具备一系列的课程知识和实践技能
    的头像 发表于 03-14 15:51 280次阅读

    学习FPGA必须知道的社区

    学习FPGA必须知道的社区
    的头像 发表于 01-03 17:51 137次阅读
    <b class='flag-5'>学习</b><b class='flag-5'>FPGA</b>必须知道的社区

    FPGA零基础学习之Vivado-VGA驱动设计

    及打算进阶提升的职业开发者都可以有系统性学习的机会。系统性的掌握技术开发以及相关要求,对个人就业以及职业发展都有着潜在的帮助,希望对大家有所帮助。本次带来Vivado系列VGA驱动
    发表于 11-02 19:54

    VGA接口驱动设计

    VGA(Video Graphics Array)视频图形阵列是IBM于1987年提出的一个使用模拟信号的电脑显示标准。VGA接口即电脑采用VGA标准输出数据的专用接口。VGA接口共有
    的头像 发表于 10-26 10:06 528次阅读
    <b class='flag-5'>VGA</b>接口<b class='flag-5'>驱动</b>设计

    FPGA很有价值的27个实例分享

    FPGA很有价值的27个实例
    发表于 09-21 06:12

    17 VGA输出接口及FPGA驱动 - 第4节

    电路编程VGA触发器函数运算符
    充八万
    发布于 :2023年09月01日 00:18:19

    17 VGA输出接口及FPGA驱动 - 第3节

    电路编程VGA触发器函数运算符
    充八万
    发布于 :2023年09月01日 00:17:28

    17 VGA输出接口及FPGA驱动 - 第1节

    电路编程VGA触发器函数运算符
    充八万
    发布于 :2023年09月01日 00:15:48

    FPGA零基础学习之Vivado-TLC549驱动设计

    大侠好,欢迎来到FPGA技术江湖。本系列将带来FPGA的系统性学习,从最基本的数字电路基础开始,最详细操作步骤,最直白的言语描述,手把手的“傻瓜式”讲解,让电子、信息、通信类专业学生、
    发表于 08-16 19:57

    【教程分享】FPGA零基础学习:SPI 协议驱动设计

    系列将带来FPGA的系统性学习,从最基本的数字电路基础开始,最详细操作步骤,最直白的言语描述,手把手的“傻瓜式”讲解,让电子、信息、通信类专业学生、初入职场小白及打算进阶提升的职业开发者都可以
    的头像 发表于 07-11 09:25 1238次阅读

    【教程分享】FPGA零基础学习:UART协议驱动设计

    系列将带来FPGA的系统性学习,从最基本的数字电路基础开始,最详细操作步骤,最直白的言语描述,手把手的“傻瓜式”讲解,让电子、信息、通信类专业学生、初入职场小白及打算进阶提升的职业开发者都可以
    的头像 发表于 06-27 08:20 536次阅读

    我很奇怪FPGA怎么能驱动模拟的VGA信号呢?

    买了FPGA开发板,做到FPGAVGA驱动实验,查资料知道VGA中的RGB是模拟信号。 问题1:原理图上
    发表于 05-15 14:24

    FPGA说起的深度学习:数据并行性

    这是新的系列教程,在本教程中,我们将介绍使用 FPGA 实现深度学习的技术,深度学习是近年来人工智能领域的热门话题。
    的头像 发表于 05-04 11:22 821次阅读
    从<b class='flag-5'>FPGA</b>说起的深度<b class='flag-5'>学习</b>:数据并行性