0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

VIO在chipscope上的使用

FPGA设计论坛 来源:CSDN技术社区 作者:CSDN技术社区 2022-06-12 15:51 次阅读

一般情况下ILA和VIO都是用在chipscope上使用,VIO可以作为在chipscope时模拟IO。

ec87ee0a-e6e0-11ec-ba43-dac502259ad0.png

譬如:

在使用chipscope时需要使用按键出发,但是没有设计按键或者板子不再身边,所以需要模拟按键输入还有其他信号的输出。

参数配置,配置输入探针数量和输出探针数量。分别可以设置0-256个。

ecb6e638-e6e0-11ec-ba43-dac502259ad0.png

probe in ports参数配置,配置数据探针位宽

ece0e0dc-e6e0-11ec-ba43-dac502259ad0.png

probe out port:参数配置输出探针的数据位宽,及初始化数据(in hex)

ecffa35a-e6e0-11ec-ba43-dac502259ad0.png

在hw_vio界面加入配置的输入及输出探针,并且对应的参数可以设置.

1、模拟的按键IO,可以设置成active_high buttom.

2、对于输出参数,可以设置成text

对于里面设置的值,就是触发后信号保持的状态数据

ed5112a8-e6e0-11ec-ba43-dac502259ad0.png

在hw_ILA界面,将ila的信号探针数据加入Trigger setup和waveform中。只要在

eda0d61c-e6e0-11ec-ba43-dac502259ad0.png

number of windows:指采样窗口个数。

window data depth:采样深度,要考虑能完整采样一帧数据以上,图上采样深度是128K = 131072 / 1024

trigger position in window:窗口出发位置就是指红色那根T线。设置一个参数为的是能正常等待出发。如果设置这个值为0,那么就不需要任何触发按键或者触发源来触发。所以需要设定一个值

edcce5cc-e6e0-11ec-ba43-dac502259ad0.png

edf202f8-e6e0-11ec-ba43-dac502259ad0.png

按启动运行按钮,让ila处于waiting for trigger模式,等待出发,然后再hw_vios上触发模拟复位按钮,

ee18a732-e6e0-11ec-ba43-dac502259ad0.png

就会出发对应的信号。

ee7026d8-e6e0-11ec-ba43-dac502259ad0.png

代码实例化如图所示。

ILA_wrapper ILA(

.clk ( ),

.probe0 ( ),

.probe1 ( ),

.probe_out0 ( ),

.probe_out1 ( ),

.probe_in0 ( )

)

原文标题:vivado VIO (virtual input output)虚拟IO使用

文章出处:【微信公众号:FPGA设计论坛】欢迎添加关注!文章转载请注明出处。

审核编辑:汤梓红
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • VIO
    VIO
    +关注

    关注

    0

    文章

    10

    浏览量

    9964
  • Chipscope
    +关注

    关注

    0

    文章

    16

    浏览量

    11898
  • 模拟io
    +关注

    关注

    0

    文章

    5

    浏览量

    2413

原文标题:vivado VIO (virtual input output)虚拟IO使用

文章出处:【微信号:gh_9d70b445f494,微信公众号:FPGA设计论坛】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    XILINX FPGA Debug with VIO and TCL

    提供的软核,通过Xilinx开发环境和jtag下载线可以PC获知FPGA内部逻辑信号的状态,也能输出信号给FPGA内部逻辑。TCL是一种通用的EDA工具脚本语言。Xilinx开发环境提供了一个很
    发表于 03-08 15:29

    求问为什么使用chipscope的时候时钟连不上?

    是不是使用chipscope Inserter的时钟没有把时钟连接好,我使用的是Virtex-7,使用了差分信号输入(V-7貌似没有全局时钟输入,于是选择了差分信号的系统时钟。)chips
    发表于 09-29 16:26

    使用VIO IPISE Design SUite软件中调试时遇到以下错误该怎么办?

    大家好。我使用VIO IPISE Design SUite软件中调试设计。当我运行工具有以下错误:检查扩展设计...错误:NgdBuild:604 - 类型为'chipscope_vio'的逻辑块
    发表于 08-02 08:10

    Chipscope中的ILA,VIO和ATC2有什么区别?

    HI,Chipscope中的ILA,VIO和ATC2有什么区别?问候Naveen G K.谢谢娜文G K.
    发表于 03-31 10:09

    AD7982的VREF与VDD/VIO之间是否有时序要求?

    你好, AD7982的VREF与VDD/VIO之间是否有时序要求?手册里没查到。 目前我们的设计中,VREF(5V)先于VDD(2.5V)和VIO(2.5V)电,不知是否会有问
    发表于 12-14 06:44

    Chipscope学习教程

    本教程假定用户已有安装好仿真、综合、ISE 和相应的Chipscope,教程使用的环境如 下: 仿真:Modelsim 5.8 综合:Synplify pro 7.5.1 布局:ISE 6.2 分析:Chipscope 6.2
    发表于 05-10 14:49 0次下载
    <b class='flag-5'>Chipscope</b>学习教程

    CHipScoPe使用

    chipscope是一款在线逻辑分析仪,可实时有效的检查FPGA内部设计电路各需求中间节点的信号波形。
    发表于 11-23 11:36 2次下载

    Chipscope的使用

    Xilinx chipscope使用方法,针对刚刚开始接触FPGA的同学,零基础学习。
    发表于 03-21 16:57 1次下载

    ChipScope使用示例

    Xilinx FPGA工程例子源码:ChipScope使用示例
    发表于 06-07 14:13 7次下载

    Xilinx FPGA ChipScope的ICON/ILA/VIO核使用

    使用ChipScope有两种方式: 第一种,使用CoreInsert,可参考下面链接: 这种方法可以快速的使用ICON和ILA核,以及ATC2核,而且不必修改原代码。缺点是不能使用其他核,如VIO
    发表于 02-09 05:19 920次阅读
    Xilinx FPGA <b class='flag-5'>ChipScope</b>的ICON/ILA/<b class='flag-5'>VIO</b>核使用

    ise中chipscope的使用

     本文介绍了ise中chipscope的使用
    发表于 09-15 17:38 8次下载

    SDK和ChipScope配合工作

    如果使用ZC702单板内置的digilent电缆,SDK 14.6 和 ChipScope 14.6配合工作,可能会有问题,ChipScope在SDK 调试软件时不能触发,“Trigger
    发表于 11-22 15:19 2542次阅读

    VIOchipscope有多大优势?

    debug,尤其是通信芯片的debug,可以有很多的方法。一个数据帧从进入到输出,可以在通路上的关键节点处设置监测如各种计数器等,可通过VIO(xilinx)定时上报实时状态。可以把VIO的各个
    的头像 发表于 07-19 10:19 6671次阅读
    <b class='flag-5'>VIO</b>比<b class='flag-5'>chipscope</b>有多大优势?

    Vivado之VIO原理及应用

    虚拟输入输出(Virtual Input Output,VIO)核是一个可定制的IP核,它可用于实时监视和驱动内部FPGA的信号,如图所示。     可以定制VIO的输入和输出端口的数量与宽度,用于
    的头像 发表于 09-23 16:11 7546次阅读
    Vivado之<b class='flag-5'>VIO</b>原理及应用

    ISE中ChipScope使用教程

    ChipScope是Xilinx提供的一个校验FPGA设计的工具。它的本质是一个虚拟的逻辑分析仪,能调用FPGA内部的逻辑资源对代码中的各个变量进行抓取分析。
    的头像 发表于 05-08 16:55 4368次阅读
    ISE中<b class='flag-5'>ChipScope</b>使用教程