0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

FPGA知识详解之基础篇的技术分享

润欣科技Fortune 来源:润欣科技 作者:润欣科技Fortune 2020-11-30 11:37 次阅读

上海润欣科技股份有限公司创研社

1.Verilog基础语法

1.1 可综合模块

以module为单元,具体实现如下

1.1.1 时序逻辑

以异步触发的D触发器为例,时序逻辑在always块里实现

主要注意点:

1. 声明模块时,输入变量一定是wire类型。

2. 声明模块时,输出变量可以是wire,也可以是reg, reg变量只能在always块中赋值。

3. 敏感列表既可以是边沿触发,也可以是电平触发。

4. 沿触发的逻辑里,一定采用的是非阻塞触发<=。

1.1.2 组合逻辑

以数据选择器为例,组合逻辑通常使用assign语句赋值

主要注意点:

1. sel = 0时,c = a;sel = 1时,c =b,即二选一数据选择器。四选一则有两个选择端,四个输入端,八选一则是三个选择端,八个输入端。

2. wire 变量一定要用连续赋值语句赋值,而且必须用阻塞赋值。

1.2 仿真模块

仿真模块和可综合模块的区别:

可综合模块最终生成的bit文件会烧录进芯片运行,而仿真模块编译过后是在仿真软件(例如modelsim)上运行的。仿真模块是基于可综合模块进行例化,并通过仿真软件的模拟,可以初步验证我们写的可综合模块的实现现象。

以计数器为例,可综合模块如下:

基于以上可综合模块的仿真模块如下:

1. 仿真的模块声明不需要输入列表。

2. initial块只能对【寄存器】量进行赋值。

3. 例化模块时,如果原始模块是输出变量,则括号内必须【wire变量】。

HDL常见例子

2.1 译码器

2.1.1 可综合模块(case语句)

此模块用case实现了一个数据选择的功能,先产生了一个8位计数器,通过判断计数器的值来输出不同的取值,当计数器计数到1时,o_data和o_dv分别输出5和1,当计数器为2时,输出7和1,其余均输出0。(Note:Case语句常常运用于状态机中状态的判断)

Case语句注意点:

必须有default语句,否则会形成锁存器

2.1.2 仿真模块

2.2状态机

由于FPGA内部语句块都是并行运行的,当我们希望FPGA按照顺序执行我们的语句时,就会用到状态机。

下面例子是使用状态机模拟的一个简单的自动售货机,该售货机中的商品 2.5 元一件,每次投币既能投入 1 元, 也能投入 0.5 元,当投入 3 元时,需要设定找零。

2.2.1 状态图

2.2.2 可综合模块(2段式状态机)

2.2.3 仿真模块

2.2.4 仿真脚本

仿真脚本可以省去人工操作modelsim软件图形页面的步骤,运行脚本,modelsim可以根据脚本命令自动运行包括创建工作目录,编译文件,启动仿真等步骤,以下是本例中的仿真脚本。

仿真脚本编写好后,只需要进入仿真软件(本例使用的是modelsim),改变当前路径到脚本保存的路径,然后在modelsim命令行输入 do [脚本文件名]即可。

仿真结果:

2.2.5 上板测试

上板测试对原来的条件做了一定改动更方便观测结果,输入和输出分别使用的按键和LED灯,测试版使用的是Anlogic的EF3L40CG332B_DEV,实现的功能如下:

① 在开发板上完成自动售货机的实验,投币的动作通过按键实现, 当按一次按键(按下到抬起算一次),算作投币一次;

② 按键有两个,按下分别代表 5 毛和 1 元,可乐售价 2.5 元;

③ 当投入总金额为 5 毛时, led 灯亮一个,投入总金额为 1 元时, led 灯亮两个, 投入总金额为 1.5 元时, led 灯亮三个, 投入总金额为 2 元时, led 亮四个, 用单向流水灯效果充当出可乐并且不找零的情况,用双向流水灯效果充当既出可乐又找零的情况。流水灯持续十秒后熄灭,状态回到初始状态。

重新设计状态图如下:

代码详见工程fsm_key,笔记不再赘述,此次笔记主要记录关于顶层模块和例化的相关知识点:

工程目录如下所示:

各模块之间的关系是:

顶层文件是fsm.v,在fsm.v中调用了模块led_water_single,led_Water_double和key_debounce,这三个模块的功能分别是单向流水灯,双向流水灯和按键消抖。想在顶层文件中调用对应的模块需要在顶层文件中对相应的模块进行例化,以单向流水灯为例。

单向流水灯的声明如下:

(具体功能实现代码略,如有需要,请登录www.fortune-co.com留言)

那么在fsm.v中例化方法如下:

括号外的信号是对应的声明信号;括号内的信号是从fsm模块中传入的信号,该信号名称可以自定义。

整个工程的框架如下:(由于本实验没有另外写一个总的TOP文件,而是将例化和状态机信号处理都放在了fsm模块中,因此top层和状态机模块都标注的是fsm)

其中key05,key10,就是从外界接入的信号,led[7:0]即输出信号。接入信号我们要引到对应的按键,可以参考EF3L40CG332B_DEV的原理图

SW2和SW3对应的FPGA的管脚分别是R19和R20,同理可查,八个led的对应脚,并写出相应的管脚约束文件。

管脚约束文件写完后,在可综合模块顶层文件中的输入输出信号就会对应到相应的物理输入输出,也可以使用图形页面设置。

完成后编译下载到板子上观测现象。

在本次实验的过程中也发生了一个编程错误问题,刚编写完上板测试时发现结果和预想的有差异,具体错处在输入3元进入双向流水灯的状态时,双向流水灯正向流水正常,当反向流水时,在倒数第二个灯会卡住,然后反复亮灭倒数一二个灯,没法完成反向流水。

由于第一次正向流水是正常的,因此推测是在反向流水时有条件判断错误使得反向流水无法正常运行,进入到led_water_double模块关于反向流水灯的操作模块,经查验发现是设置翻转标志的时候判断条件写错了。

判断条件应该是led == 8’b1111_1110,更改后,实验现象符合预期要求。

fqj

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1602

    文章

    21320

    浏览量

    593156
  • 输出信号
    +关注

    关注

    0

    文章

    125

    浏览量

    11682
收藏 人收藏

    评论

    相关推荐

    Xilinx FPGA编程技巧常用时序约束详解

    今天给大侠带来Xilinx FPGA编程技巧常用时序约束详解,话不多说,上货。 基本的约束方法为了保证成功的设计,所有路径的时序要求必须能够让执行工具获取。最普遍的三种路径以及异常路径为
    发表于 04-12 17:39

    科普 | 一文了解FPGA技术知识

    科技成果交易会上发布了新一代自主知识产权亿门级 FPGA 产品,新产品,填补了国内超大规模亿门级 FPGA 的空白。未来随着更多企业技术突破,国产替代进程将持续推进。 国内
    发表于 03-08 14:57

    FPGA基础知识介绍

    电子发烧友网站提供《FPGA基础知识介绍.pdf》资料免费下载
    发表于 02-23 09:45 11次下载

    FPGA设计高级技巧 Xilinx

    FPGA设计高级技巧 Xilinx
    发表于 01-08 22:15

    详解时域瞬态分析技术

    详解时域瞬态分析技术
    的头像 发表于 12-07 14:45 276次阅读
    <b class='flag-5'>详解</b>时域瞬态分析<b class='flag-5'>技术</b>

    如何学习FPGAFPGA学习必备的基础知识

    FPGA已成为现今的技术热点之一,无论学生还是工程师都希望跨进FPGA的大门。网络上各种开发板、培训班更是多如牛毛,仿佛在告诉你不懂FPGA你就OUT啦。那么我们要玩转
    的头像 发表于 10-27 17:43 477次阅读

    太阳能光伏相关知识详解

    电子发烧友网站提供《太阳能光伏相关知识详解.pdf》资料免费下载
    发表于 10-08 09:32 0次下载
    太阳能光伏相关<b class='flag-5'>知识</b><b class='flag-5'>详解</b>

    BGA和CSP封装技术详解

    BGA和CSP封装技术详解
    的头像 发表于 09-20 09:20 1073次阅读
    BGA和CSP封装<b class='flag-5'>技术</b><b class='flag-5'>详解</b>

    基于FPGA的加速基础知识

    电子发烧友网站提供《基于FPGA的加速基础知识.pdf》资料免费下载
    发表于 09-18 10:12 0次下载
    基于<b class='flag-5'>FPGA</b>的加速基础<b class='flag-5'>知识</b>

    FPGA设计中BRAM的知识科普

    FPGA设计中,BRAM是一项非常关键的内置存储资源,FPGA开发需要熟练使用BRAM,今天再复习一下BRAM的知识,包括BRAM的定义、组成、应用等等。
    发表于 08-15 15:44 2324次阅读
    <b class='flag-5'>FPGA</b>设计中BRAM的<b class='flag-5'>知识</b>科普

    无功补偿原理基础知识详解

    无功补偿原理基础知识详解
    的头像 发表于 08-11 09:48 527次阅读
    无功补偿原理基础<b class='flag-5'>知识</b><b class='flag-5'>详解</b>

    Altera FPGA_CPLD设计 高级

    Altera FPGA_CPLD设计 高级
    发表于 08-05 18:38

    WAT技术详解

    WAT技术详解
    的头像 发表于 07-17 11:40 713次阅读
    WAT<b class='flag-5'>技术</b><b class='flag-5'>详解</b>

    XILINX FPGA IP之MMCM PLL DRP时钟动态重配详解

    上文XILINX FPGA IP之Clocking Wizard详解说到时钟IP的支持动态重配的,本节介绍通过DRP进行MMCM PLL的重新配置。
    发表于 06-12 18:24 6387次阅读
    XILINX <b class='flag-5'>FPGA</b> IP之MMCM PLL DRP时钟动态重配<b class='flag-5'>详解</b>

    FPGA主时钟约束详解 Vivado添加时序约束方法

    FPGA设计中,时序约束的设置对于电路性能和可靠性都至关重要。在上一篇的文章中,已经详细介绍了FPGA时序约束的基础知识
    发表于 06-06 18:27 7035次阅读
    <b class='flag-5'>FPGA</b>主时钟约束<b class='flag-5'>详解</b> Vivado添加时序约束方法