0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

多时钟设计中时钟切换电路设计案例

454398 来源:博客园 作者:Pent° 2020-09-24 11:20 次阅读

在多时钟设计中可能需要进行时钟的切换。由于时钟之间可能存在相位、频率等差异,直接切换时钟可能导致产生glitch。

2|0组合逻辑实现时钟切换:

2|1HDL代码:

2|2电路图:

pIYBAF9sEBqASqeHAAAvOsW1x64683.png

2|3波形图:

pIYBAF9sETSAXsqHAABWBfC1ynw873.png

2|4问题:

使用上述电路进行时钟切换会导致在控制信号sel附近出现glitch。其原因在于控制信号可以在任意时刻进行时钟切换,切换信号相对于两个时钟都是异步信号。

2|5解决方法:

使用寄存器使得控制信号仅在时钟边沿作用,避免在任何时钟都为高电平是进行时钟切换。

3|0适用于倍频时钟切换的时序逻辑电路

3|1HDL代码:

3|2电路图:

pIYBAF9sETSAO1_tAAD4Gy-AbAo965.png

3|3波形图:

pIYBAF9sETWAUxF7AADDoc4YuNE995.png

3|4功能:

当切换的时钟存在倍频关系时,分别插入一个下降沿触发的触发器以确保控制信号仅在时钟低电平时起作用。

3|5问题:

当DFF1输入的变化非常接近CLK1的下降沿时,可能会导致DFF1的亚稳态问题;DFF0同理。

为什么可以用于倍频时钟之间的切换?

4|0异步时钟切换的时序电路

4|1HDL代码:

4|2电路图:

pIYBAF9sETWAVzb3AAHJY_eqFJg933.png

4|3波形图:

pIYBAF9sETWAT8jsAADA4AbXi4g086.png

4|4功能:

通过为每个时钟源添加一个额外级的正边沿触发触发器来提供针对亚稳态性的保护,CLK0的上升沿采样到信号到下降沿传递至CLK1的正边沿触发器,并在CLK0下降沿后CLK1第一个上升沿之后的下降沿输出。(不是很理解)

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 时钟
    +关注

    关注

    10

    文章

    1479

    浏览量

    130304
  • 触发器
    +关注

    关注

    14

    文章

    1677

    浏览量

    60402
  • 时序逻辑电路

    关注

    2

    文章

    78

    浏览量

    16401
  • 时钟设计
    +关注

    关注

    0

    文章

    27

    浏览量

    10873
  • HDL代码
    +关注

    关注

    0

    文章

    5

    浏览量

    2061
收藏 人收藏

    评论

    相关推荐

    RX100系列子时钟电路设计指南

    电子发烧友网站提供《RX100系列子时钟电路设计指南.pdf》资料免费下载
    发表于 02-21 14:12 4次下载
    RX100系列子<b class='flag-5'>时钟</b><b class='flag-5'>电路设计</b>指南

    RX和RA系列主时钟电路和子时钟电路设计指南

    电子发烧友网站提供《RX和RA系列主时钟电路和子时钟电路设计指南.pdf》资料免费下载
    发表于 02-19 10:20 1次下载
    RX和RA系列主<b class='flag-5'>时钟</b><b class='flag-5'>电路</b>和子<b class='flag-5'>时钟</b><b class='flag-5'>电路设计</b>指南

    数字电路时钟切换电路解析

    以上是一个比较经典的时钟切换电路。 根据实际使用场景的不同,时钟切换有很多不同的实现方法,都可以做得非常经典。
    的头像 发表于 02-18 18:22 1155次阅读
    数字<b class='flag-5'>电路</b>之<b class='flag-5'>时钟</b><b class='flag-5'>切换</b><b class='flag-5'>电路</b>解析

    异步电路中的时钟同步处理方法

    网络 时钟分配网络是实现异步电路的一种常用方法。它将一个主时钟信号分发给整个电路,以确保电路中的所有部件都按照相同的
    的头像 发表于 01-16 14:42 326次阅读

    如何实现分频时钟切换

    其实这个分频时钟切换很简单,根本不需要额外的切换电路。一个共用的计数器,加一点控制逻辑,就可以了,而且可以实现2到16任意整数分频率之间的无缝切换
    的头像 发表于 12-14 15:28 312次阅读
    如何实现分频<b class='flag-5'>时钟</b>的<b class='flag-5'>切换</b>

    什么是时钟电路?什么是脉冲?时钟电路是如何生成脉冲的?

    什么是时钟电路?什么是脉冲?时钟电路是如何生成脉冲的? 时钟电路是一种
    的头像 发表于 10-25 15:14 918次阅读

    关于FPGA设计中多时钟域和异步信号处理有关的问题

    减少很多与多时钟域有关的问题,但是由于FPGA外各种系统限制,只使用一个时钟常常又不现实。FPGA时常需要在两个不同时钟频率系统之间交换数据,在系统之间通过多I/O接口接收和发送数据,处理异步信号,以及为带门控
    的头像 发表于 08-23 16:10 371次阅读

    如何仅使用逻辑门和寄存器产生无毛刺输出的时钟切换

    大部分开发者使用 BUFGCTRL 或 BUFGMUX进行时钟切换,它们在时钟切换上可以提供无毛刺输出。
    的头像 发表于 08-16 09:05 831次阅读
    如何仅使用逻辑门和寄存器产生无毛刺输出的<b class='flag-5'>时钟</b><b class='flag-5'>切换</b>?

    时钟电路是晶振电路时钟电路布局走线设计方法

    时钟电路用于产生稳定的时钟信号,常见于数字系统、微处理器、微控制器、通信设备等。时钟信号用于同步各个电子元件的操作和数据传输,确保系统的正常运行。
    的头像 发表于 08-03 14:46 1826次阅读

    时钟域信号如何处理 跨时钟电路设计

    在一个复杂的SoC(System on Chip)系统中,不可能只有一个时钟。我们一般认为,一个时钟控制的所有寄存器集合处于该时钟时钟域中。
    的头像 发表于 08-01 15:48 1157次阅读
    跨<b class='flag-5'>时钟</b>域信号如何处理 跨<b class='flag-5'>时钟</b>域<b class='flag-5'>电路设计</b>

    芯片设计进阶—门控时钟

    芯片功耗组成中,有高达40%甚至更多是由时钟树消耗掉的。这个结果的原因也很直观,因为这些时钟树在系统中具有最高的切换频率,而且有很多时钟buffer,而且为了最小化
    发表于 06-29 15:33 1723次阅读
    芯片设计进阶—门控<b class='flag-5'>时钟</b>

    聊一聊无毛刺时钟切换的问题

    先说为什么要做clock switching,在现代的SoC设计中,很多时候我们设计的模块并不是要跑在一个固定的频率下,而是根据性能和功耗的要求要跑在不同的时钟频率下,当需要降低功耗以及不需要很高性能的时候,我们可以降低时钟频率
    的头像 发表于 06-27 17:00 1130次阅读
    聊一聊无毛刺<b class='flag-5'>时钟</b><b class='flag-5'>切换</b>的问题

    时钟同步的总线电路方案

    、保持(hold)时间的时序关系,电路的输出(布尔值)就是可预测的,这是数字逻辑电路设计的基础。如果 不能满足建立保持时间 ,我们认为输入是 异步 (asynchronous) 信号 。一个时钟域的同步信号输出到另一个
    的头像 发表于 06-23 17:53 1036次阅读
    <b class='flag-5'>时钟</b>同步的总线<b class='flag-5'>电路</b>方案

    时钟电路设计总结

    时钟域操作包括同步跨时钟域操作和异步跨时钟域操作。
    的头像 发表于 05-18 09:18 469次阅读
    跨<b class='flag-5'>时钟</b>域<b class='flag-5'>电路设计</b>总结

    基于ATmega8单片机基于TC2的实时时钟电路设计Proteus仿真源程序

    基于ATmega8单片机基于TC2的实时时钟电路设计Proteus仿真源程序
    发表于 05-05 09:31 0次下载