电子发烧友网>新科技>数码科技> > 正文

微软启动全新项目:将AI芯片压缩成面包屑大小

yingujun来源:互联网 2017年06月30日 23:07 次阅读

  微软研究院今年发布了一个全新的项目——将人工智能嵌入一个如同面包屑大小的处理器中。

  该项目称为“嵌入式学习库(ELl)”,它将帮助开发人员将机器学习模型构建并部署在像树莓派Arduinos这样的嵌入式平台上。

  

  ▲图片来源:MSPowerUser

  一旦部署完成,机器学习模型将可以不依赖互联网而运行,这将会减少带宽限制,同时消除对网络延迟的担忧。此外,设备将会把个人的敏感信息保存在设备中,这将起到保护隐私的作用。

  可以想象,研究人员可以通过这种方法来创建各种智能设备,比如用于智能农场的精准灌溉系统。

  微软将树莓派3s与部署了最新技术神经网络进行了比较,这些模型同样准确,但后者的运行速度提高了20倍。

下载发烧友APP

打造属于您的人脉电子圈

关注电子发烧友微信

有趣有料的资讯及技术干货

关注发烧友课堂

锁定最新课程活动及技术直播

电子发烧友观察

一线报道 · 深度观察 · 最新资讯
收藏 人收藏
分享:

评论

相关推荐

新思科技携手台积公司加速N2工艺下的SoC创新

新思科技近日宣布,其数字和定制/模拟设计流程已通过台积公司N2工艺技术认证,能够帮助采用先进工艺节点....
发表于 2023-10-24 16:42 239次阅读
新思科技携手台积公司加速N2工艺下的SoC创新

10+工具,提升开发者生产力

Azure 有哪些工具和资源有助于提高开发者生产力?本篇文章 一次性放送 10+ 开发者工具 ,助您....
发表于 2023-10-24 10:40 23次阅读
10+工具,提升开发者生产力

程序员节 | 今年程序员们都想要的礼物竟然是……

原文标题:程序员节 | 今年程序员们都想要的礼物竟然是…… 文章出处:【微信公众号:微软科技】欢迎添....
发表于 2023-10-24 10:35 67次阅读
程序员节 | 今年程序员们都想要的礼物竟然是……

FPGA在时代扮演何种角色?

奉行摩尔定律的历史,本质上已经不复存在了。现在业界很流行的讲法是Jim Keller提的“domai....
发表于 2023-10-23 10:35 42次阅读
FPGA在时代扮演何种角色?

从零基础开始,掌握低代码+ Al 的应用技巧

近日,Gartner发布了2024年企业机构需要探索的十大战略技术趋势,其中,“全民化的生成式 AI....
发表于 2023-10-23 09:45 24次阅读
从零基础开始,掌握低代码+ Al 的应用技巧

工信部发声!夯实AI技术底座、提升智能芯片算力水...

据介绍,夯实人工智能技术底座为首要着力点。即通过科技创新重大项目,着力推动大模型算法、框架等基础性原....
发表于 2023-10-22 15:45 720次阅读
工信部发声!夯实AI技术底座、提升智能芯片算力水...

五个基本操作,帮你抵御99%的网络攻击

在当今数字时代中对于企业来说,抵御网络威胁、最大限度地降低风险来确保组织的持续生存能力,是尤为重要的....
发表于 2023-10-20 02:10 484次阅读
五个基本操作,帮你抵御99%的网络攻击

共筑安全堡垒!一大波安全课程与研讨会精彩来袭

数字时代,安全问题迎来变革的转折点。攻击正变得越来越复杂,组织需要持续应对各种挑战,包括人才短缺、成....
发表于 2023-10-20 02:10 67次阅读
共筑安全堡垒!一大波安全课程与研讨会精彩来袭

4090显卡全面下架 AI芯片出口管制趋严

4090显卡全面下架 AI芯片出口管制趋严 英伟达民用消费级的高端显卡4090在各个网上店铺都显示无....
发表于 2023-10-19 17:24 879次阅读
4090显卡全面下架 AI芯片出口管制趋严

台积电2024年7nm以下代工报价提高3-6%

10月17日,美国公布了新的半导体出口控制规定,不仅限制英伟达阉割型ai芯片在中国本土销售,还将壁仞....
发表于 2023-10-19 14:29 293次阅读
台积电2024年7nm以下代工报价提高3-6%

微软全新数据及智能解决方案,帮助医疗机构获取洞察...

在刚刚结束的HLTH 2023大会上,微软发布了全新的 数据及智能解决方案和功能 ,帮助医疗机构专注....
发表于 2023-10-19 11:20 67次阅读
微软全新数据及智能解决方案,帮助医疗机构获取洞察...

泛林回应美国AI芯片出口管制新规:预计不会产生实...

泛林集团因为去年发表的美国最近的出口限制规定,遭受了约20亿美元的销售损失。泛林集团认为,公司在中国....
发表于 2023-10-19 10:55 138次阅读
泛林回应美国AI芯片出口管制新规:预计不会产生实...

美扩大出口管制,专家称中国台湾供应链恐受影响

美国此次修改出口控制规则,确定了生产能力和性能密度系数。类飞前台湾经济研究院兼总监英伟达a800、h....
发表于 2023-10-19 10:32 327次阅读
美扩大出口管制,专家称中国台湾供应链恐受影响

美升级AI芯片出口管制对台积电无影响

从中长期角度看,因美国新颁布的限制措施,台积电有可能失去英伟达ai芯片等美国半导体设计企业的订单。但....
发表于 2023-10-19 10:12 252次阅读
美升级AI芯片出口管制对台积电无影响

美国禁令升级全面压制我国AI芯片发展 加快构建全...

产业从业人员仔细研究后,面积计算方法计算力量/芯片,还有几个下限计算能力划分:第一,超过4800的一....
发表于 2023-10-19 09:51 222次阅读
美国禁令升级全面压制我国AI芯片发展 加快构建全...

新一轮制裁,摩尔线程、壁仞等IC公司上实体清单,...

2023年10月17日,美国商务部BIS将13家企业放入实体清单,理由为这些实体行为违背了美国的国家....
发表于 2023-10-18 16:36 928次阅读
新一轮制裁,摩尔线程、壁仞等IC公司上实体清单,...

Python in Excel,让你的数据分析直...

Python in Excel 可使用Python这门强大的编程语言来操作和分析数据,无需额外安装或....
发表于 2023-10-18 16:20 30次阅读
Python in Excel,让你的数据分析直...

英伟达的反击:挟H100以令诸侯

2023年3月,英伟达正式上线云计算服务DGX Cloud。事实证明,经过英伟达工程师的优化后,DG....
发表于 2023-10-18 15:33 244次阅读
英伟达的反击:挟H100以令诸侯

美芯片禁令再次扩大,波及英伟达、AMD以及int...

  拜登政府17日宣布,计划停止英伟达(Nvidia)、超微半导体以及英特尔等科技公司设计的先进AI....
发表于 2023-10-18 13:53 168次阅读
美芯片禁令再次扩大,波及英伟达、AMD以及int...

奥比中光与微软联合打造的相机Femto Bolt...

近日,奥比中光与微软联合打造的先进iToF 3D相机Femto Bolt正式在全球范围内上线开售。作....
发表于 2023-10-18 11:34 248次阅读
奥比中光与微软联合打造的相机Femto Bolt...

郭明錤:英伟达已在对美国或进一步禁止销售L40S...

郭明錤指出,英伟达新禁令不能负面影响对中国顾客的ai芯片需求走强(2024年ai芯片出货的比重为15....
发表于 2023-10-18 11:11 267次阅读
郭明錤:英伟达已在对美国或进一步禁止销售L40S...

Google的TPU芯片的发展历史和硬件架构

Google在高性能处理器与AI芯片主要有两个系列:1)针对服务器端AI模型训练和推理的TPU系列,....
发表于 2023-10-18 10:02 46次阅读
Google的TPU芯片的发展历史和硬件架构

AI芯片设计DNN加速器buffer管理策略

如前所述,数据缓存是创建高效DNN加速器的关键组件之一。因此,除了选择适当的数据流(控制数据缓存的位....
发表于 2023-10-17 17:23 318次阅读
AI芯片设计DNN加速器buffer管理策略

重点裁技术和管理!领英重创核心工程团队,技术管理...

另外,管理层被裁员比例达到了 20%,这个数据高得让人吃惊。有人猜测这是因为 LinkedIn 公司....
发表于 2023-10-17 16:15 116次阅读
重点裁技术和管理!领英重创核心工程团队,技术管理...

掌握营销精髓 | 为什么中间层是决定胜败的关键?...

“量化营销价值 - 连接短期增长与品牌建设”文章系列的第二部分,阅读第一部分 ' CMO需....
发表于 2023-10-17 03:35 101次阅读
掌握营销精髓 | 为什么中间层是决定胜败的关键?...

昇腾910和含光800性能对比

有网友问昇腾910和含光800性能对比;华为推出的昇腾910性能强大,而含光800则是阿里巴巴发布的....
发表于 2023-10-16 17:29 230次阅读
昇腾910和含光800性能对比

世界粮食日 | 智能技术如何惠及更多种植者,将一...

粮食从种植到走上消费者餐桌,一条“可持续的供应链”能使环节中的每个参与者都受益:让农户能提供优质的粮....
发表于 2023-10-16 00:10 99次阅读
世界粮食日 | 智能技术如何惠及更多种植者,将一...

实用至上!让低代码与 AI 成为你的得力助手

在2023年,生成式 AI 技术热度高涨,大语言模型和提示词工程等领域的创新成果不仅引领了科技潮流,....
发表于 2023-10-16 00:10 107次阅读
实用至上!让低代码与 AI 成为你的得力助手

微软连续13年被Gartner®评为“销售能力自...

微软在 2023 年 Gartner 销售能力自动化平台魔力象限 (Magic Quadrant)中....
发表于 2023-10-13 00:10 153次阅读
微软连续13年被Gartner®评为“销售能力自...

想要抢先用上M365 Copilot?10月26...

您准备好重新定义工作方式了吗? 微软隆重推出 Microsoft 365 Copilot,引领您的工....
发表于 2023-10-13 00:10 115次阅读
想要抢先用上M365 Copilot?10月26...

微软自研AI芯片公布在即,云厂商进一步优化成本

电子发烧友网报道(文/周凯扬)据外媒透露,微软很有可能在11月举办的年度开发者Ignite大会上,公....
发表于 2023-10-12 00:11 644次阅读
微软自研AI芯片公布在即,云厂商进一步优化成本

存算一体芯片生产制造流程与传统AI芯片的差异是什...

一般芯片验证从层级上可以大概划分为IP level,Subsystem level,和SoC lev....
发表于 2023-10-11 16:49 124次阅读
存算一体芯片生产制造流程与传统AI芯片的差异是什...

仿真人类的微软AI翻译系统

从历史上看,曾经主流的机器学习技术在行业中应用是统计机器翻译 (SMT)。SMT 使用先进的统计分析....
发表于 2023-10-11 15:27 274次阅读
仿真人类的微软AI翻译系统

不堪忍受英伟达霸权,微软、OpenAI纷纷自研A...

英伟达能被撼动吗?
发表于 2023-10-11 14:25 186次阅读
不堪忍受英伟达霸权,微软、OpenAI纷纷自研A...

通用服务器和AI服务器出现两极分化 ​CPU开始...

数据中心芯片风光一时无两。目前,数据中心领域有三大巨头:英特尔、英伟达、AMD。在数据中心CPU方面....
发表于 2023-10-11 11:46 112次阅读
通用服务器和AI服务器出现两极分化 ​CPU开始...

欧盟推动科技巨头缴纳“互联网税”举措放缓

欧盟的几家大型通信公司认为,谷歌、meta、netflix、微软和亚马逊应该承担占网络流量相当部分的....
发表于 2023-10-11 10:54 166次阅读
欧盟推动科技巨头缴纳“互联网税”举措放缓

大模型增长时代!低代码和 AI 的能力远不止 A...

从低代码开发引发效率革命,到生成式 AI 打破传统限制、颠覆想象,一个前所未有的创造力时代已然开启。....
发表于 2023-10-11 00:10 107次阅读
大模型增长时代!低代码和 AI 的能力远不止 A...

初探人工智能体验,有它俩,就 Go 了

向上滑动阅览 1 电池寿命因设备配置、使用情况、网络和功能配置、信号强度、设置和其他因素而异。有关详....
发表于 2023-10-10 10:40 101次阅读
初探人工智能体验,有它俩,就 Go 了

OpenAI计划自研AI芯片,试图超越英伟达的市...

据了解,OpenAI至少从去年开始就开始讨论解决AI芯片短缺的方案,包括自研AI芯片、与英伟达等制造....
发表于 2023-10-09 18:24 542次阅读
OpenAI计划自研AI芯片,试图超越英伟达的市...

长电科技封装创新为半导体在AI领域应用提供无限机...

日前,长电科技CEO郑力出席华美半导体协会(CASPA)2023年年会,与众多全球知名半导体企业高管....
发表于 2023-10-09 17:44 369次阅读
长电科技封装创新为半导体在AI领域应用提供无限机...

OpenAI和微软下场造AI芯片 首款自研AI芯...

微软自研AI芯片,11月上线! 知名外媒The Information独家爆料称,微软计划在下个月举....
发表于 2023-10-09 11:36 62次阅读
OpenAI和微软下场造AI芯片 首款自研AI芯...

三星RISC-V野心暴露!将携手行业新贵打造下一...

电子发烧友网报道(文/吴子鹏)近日,三星和AI芯片初创公司Tenstorrent共同宣布,双方将合作....
发表于 2023-10-09 00:13 1173次阅读
三星RISC-V野心暴露!将携手行业新贵打造下一...

AI芯片短缺问题依旧存在 OpenAI计划自研A...

据知情人士透露,至少从去年开始,该公司就讨论了各种方案,以解决OpenAI所依赖的昂贵AI芯片短缺的....
发表于 2023-10-08 11:47 84次阅读
AI芯片短缺问题依旧存在 OpenAI计划自研A...

鸿海回应黄仁勋将出席科技日:不予评论

今年8月,据市场消息,英伟达扩大了与鸿海的合作。鸿海独家收购了英伟达最新的ai芯片gh200芯片模块....
发表于 2023-10-08 11:03 453次阅读
鸿海回应黄仁勋将出席科技日:不予评论

微软推出新的Windows应用商店网页版

据悉,微软推出了新的Windows应用商店网页版,旨在替代在网络上查找Windows应用程序的现有方....
发表于 2023-10-07 16:57 466次阅读
微软推出新的Windows应用商店网页版

今日看点丨OpenAI考虑自研AI芯片?传已在评...

1. OpenAI 考虑自研AI 芯片?传已在评估潜在收购目标   有媒体报道,ChatGPT开发商....
发表于 2023-10-07 11:04 370次阅读
今日看点丨OpenAI考虑自研AI芯片?传已在评...

AI自动生成机器人控制代码,新的蓝海赛道已经显现

电子发烧友网报道(文/周凯扬)作为最考验场景适用度和成本控制的应用之一,机器人市场在随着进一步接入高....
发表于 2023-10-06 08:55 1894次阅读
AI自动生成机器人控制代码,新的蓝海赛道已经显现

国内外AI芯片、算力、大模型综合对比(2023)

国内AI芯片厂商格局:一梯队,有成熟产品、批量出货的企业,包括寒武纪、华为海思、百度昆仑芯、燧原科技....
发表于 2023-09-28 16:01 735次阅读
国内外AI芯片、算力、大模型综合对比(2023)

微软技术主管Kevin Scott:英伟达AI芯...

9 月 28 日消息,当地时间周三,微软公司技术主管凯文・斯科特(Kevin Scott)表示,现在....
发表于 2023-09-28 15:22 352次阅读
微软技术主管Kevin Scott:英伟达AI芯...

MI300X能否与H100一较高下?

市场研究公司Omdia近日发布报告称,预计英伟达二季度用于AI和高性能计算应用的H100 GPU的出....
发表于 2023-09-28 14:50 1005次阅读
MI300X能否与H100一较高下?

微软:英伟达AI芯片供应改善但依然紧张

斯科特表示,微软支持的openai在去年年底推出chatgpt聊天机器人后,对gpu的需求不断增加,....
发表于 2023-09-28 11:16 252次阅读
微软:英伟达AI芯片供应改善但依然紧张

燧原科技宣布完成D轮融资20亿元人民币

   2023年9月28日,专注人工智能云端算力产品的燧原科技宣布完成D轮融资20亿元人民币,由上海....
发表于 2023-09-28 09:12 336次阅读
燧原科技宣布完成D轮融资20亿元人民币

微软数字技能赋能项目荣登 2023 年《财富》中...

2023 年《财富》中国最佳设计榜正式揭晓,微软 “数字技能赋能” 项目荣登榜单。该榜单旨在评选出以....
发表于 2023-09-28 00:10 193次阅读
微软数字技能赋能项目荣登 2023 年《财富》中...

OpenAI寻求800亿至900亿美元估值出售股...

OpenAI寻求800亿至900亿美元估值出售股份 ChatGPT火爆全球之后OpenAI的估值今年....
发表于 2023-09-27 18:38 926次阅读
OpenAI寻求800亿至900亿美元估值出售股...

SambaNova推类ChatGPT开源模型BL...

SambaNova推类ChatGPT开源模型BLOOMChat之后再推AI芯片SN40L Samba....
发表于 2023-09-27 16:05 928次阅读
SambaNova推类ChatGPT开源模型BL...

昆仑芯入选2023年度中国AI芯片先锋企业TOP...

近日,2023全球AI芯片峰会、第二十三届中国国际工业博览会(简称“工博会”)先后在深圳和上海圆满落....
发表于 2023-09-27 10:04 264次阅读
昆仑芯入选2023年度中国AI芯片先锋企业TOP...

嘉楠勘智K510开发板简介——高精度AI边缘推理芯片及应用

随着人工智能应用的不断普及,各种行业应用对于算力的需求不断增加。从当前提供AI加速运算的解决方案来看,在云端仍...
发表于 2022-11-22 15:52 2132次阅读
嘉楠勘智K510开发板简介——高精度AI边缘推理芯片及应用

【工信部人才培养工程第一期】资深行业分析师解读AI领域动向与就业机会

AI教育行业现状是怎样的? 2017年国务院印发《新一代人工智能发展规划》,其中明确指出应在中小学阶段设置人工智能...
发表于 2022-03-15 10:54 5550次阅读
【工信部人才培养工程第一期】资深行业分析师解读AI领域动向与就业机会

3W原则是什么

3W原则在PCB设计中为了减少线间串扰,应保证线间距足够大,当线中心间距不少于3倍线宽时,则可保持大部分电场不互...
发表于 2022-01-26 06:50 3631次阅读
3W原则是什么

晶振的相关资料推荐

作者:晓宇,来源:minne微信公众号:芯片之家(ID:chiphome-dy)1、晶振戒指,晶振是每个单片机系统里都要用到的时...
发表于 2022-01-14 07:52 1284次阅读
晶振的相关资料推荐

牛屎芯片与普通电路板上的芯片相比有何优势

作者:什么值得买社区,整理:单片机爱好者微信公众号:芯片之家(ID:chiphome-dy)普通电路板上的芯片焊接方式大部...
发表于 2022-01-12 08:32 2259次阅读
牛屎芯片与普通电路板上的芯片相比有何优势

介绍一个电子拼图套件游戏

作者:victorqedu,整理:晓宇微信公众号:芯片之家(ID:chiphome-dy)当我还是一个孩子的时候,像电子拼图这样的游...
发表于 2021-12-30 06:22 1553次阅读
介绍一个电子拼图套件游戏

嵌入式C语言的6个层级是什么意思

关注+星标公众号,不错过精彩内容编排| strongerHuang微信公众号|嵌入式专栏C语言可以说是一中经典的编程语言,没有...
发表于 2021-12-15 07:53 1702次阅读
嵌入式C语言的6个层级是什么意思

RISC-V架构芯片的相关资料分享

作为国内RISC-V架构芯片出货量最大的IC设计公司,中科蓝讯将在2020 RT-Thread 开发者大会上首度面向通用市场...
发表于 2021-11-11 09:09 1669次阅读
RISC-V架构芯片的相关资料分享

探讨AI芯片设计和开发的6个挑战

AI实现的特点有哪些? AI芯片设计和开发面临哪些挑战? ...
发表于 2021-11-02 09:19 1270次阅读
探讨AI芯片设计和开发的6个挑战

人工智能AI芯片到底怎么用

什么是人工智能(AI)芯片? AI芯片的主要用处有哪些? 在AI任务中,AI芯片到底有多大优势? ...
发表于 2021-09-22 08:00 3202次阅读
人工智能AI芯片到底怎么用