0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

标签 > 时序

时序

时序

+关注5人关注

这里所说的时序其实就是指时序图,又名序列图、循序图、顺序图,是一种UML交互图。它通过描述对象之间发送消息的时间顺序显示多个对象之间的动态协作。

文章:202 浏览:36956 帖子:214

时序技术

为什么使用差分信号

为什么使用差分信号

差分信号是一种在电子和通信系统中广泛使用的信号传输方式,它通过比较两个电信号之间的差异(电压差)来传递信息。与单端信号不同,后者只参考一个单一的电位,差...

2024-02-16 标签:电压时序差分信号 457 0

FPGA设计的常用基本时序路径分析

FPGA设计的常用基本时序路径分析

该条路径包括了触发器内部clock-to-Q的延迟,触发器之间的由组合逻辑造成的路径延迟以及目标触发器的建立时间,其延时是数据从源触发器开始,在下一个时...

2024-01-18 标签:fpga触发器时序 243 0

Vivado时序问题分析

有些时候在写完代码之后呢,Vivado时序报红,Timing一栏有很多时序问题。

2024-01-05 标签:时序代码Vivado 396 0

从WasmEdge运行环境读写Rust Wasm应用的时序数据

WebAssembly (Wasm) 正在成为一个广受欢迎的编译目标,帮助开发者构建可迁移平台的应用。最近 Greptime 和 WasmEdge 协作...

2023-12-22 标签:时序MySQL编译 468 0

LLC的工作原理 LLC基波简化分析法

LLC的工作原理 LLC基波简化分析法

如图1,LLC有两个谐振频率。一个由谐振分量 Lr 和 Cr 确定。另一个由 Lm、Cr 和负载条件确定。随着负载越来越重,谐振频率将向更高的频率移动。...

2023-12-12 标签:负载LLC时序 5031 0

嵌入式软件时序设计相关的问题

软件时序设计相关的问题时序问题是最容易出问题的地方,“时”代表时间顺序和时效性,一旦执行顺序错乱,或执行过慢失去时效,就会导致错误。 消息的串行化处理 ...

2023-12-07 标签:数据处理嵌入式软件时序 202 0

如何计算传输线之间的延时差/偏移

如何计算传输线之间的延时差/偏移

编者注:在电路设计中时序是非常重要的,时序也是信号完整性研究的主要内容之一。较大的延时差/偏移(Skew)会直接导致电路时序不满足要求,从而导致产品设计...

2023-12-05 标签:pcb信号完整性传输线 397 0

电源时序规格及控制框图

电源时序规格及控制框图

电源时序规格及控制框图

2023-12-15 标签:电源时序 325 0

电源时序规格②:电路和常数计算示例

电源时序规格②:电路和常数计算示例

电源时序规格②:电路和常数计算示例

2023-12-05 标签:电源电路时序 227 0

DFX设计如何分析

DFX设计如何分析

针对DFX设计,Vivado提供了命令report_pr_configuration_analysis,该命令会从设计复杂度、时钟和时序等方面对其进行分...

2023-11-09 标签:fpga命令时序 454 0

DFX设计如何分析

DFX设计如何分析

选项-complexity聚焦在设计的资源使用情况,会给出指定RP下各RM的资源使用情况,同时给出各RM之间相比各种资源使用量的最大值,这需要用到选项-...

2023-11-09 标签:时钟时序Vivado 326 0

FIFO为什么不能正常工作?

FIFO为什么不能正常工作?

FIFO为什么不能正常工作?复位信号有效长度不够,接口时序不匹配,可看下面这篇文章。 本文将介绍: 非DFX工程如何确保异步FIFO自带的set_max...

2023-11-02 标签:fpgaFPGA设计fifo 566 0

CAN总线位时序的介绍

CAN总线位时序的介绍

CAN控制器根据两根线上的电位差来判断总线电平。总线电平分为显性电平和隐性电平,二者必居其一。发送方通过使总线电平发生变化,将消息发送给接收方。 显性电...

2023-10-27 标签:CAN总线时序 1108 0

常用的时序反标方法和EDA工具反标方法

常用的时序反标方法和EDA工具反标方法

前段时间,一个朋友聊叙到这个后仿真任务命令都咋用,随即整理了下,可以完成基本的后仿真不成问题,但是如果还要完成一些其他的幺蛾子,那就需要各位仔细研读各工...

2023-10-25 标签:仿真VerilogSystem 1796 0

如何让级联URAM获得最佳时序性能

如何让级联URAM获得最佳时序性能

在上一篇文章里《如何使用UltraScale+芯片中UltraRam资源》,我们向大家介绍了在RTL设计中使用URAM的方法。其中,我们推荐大家使用Xi...

2023-09-26 标签:芯片XilinxRTL 1130 0

降低时序报告中逻辑延迟的方法

降低时序报告中逻辑延迟的方法

在FPGA逻辑电路设计中,FPGA设计能达到的最高性能往往由以下因素决定。

2023-09-26 标签:fpga逻辑电路FPGA设计 804 0

芯片后端设计与仿真有哪些步骤

后端设计与仿真 芯片的后端设计与仿真是指在芯片设计流程中,将前端设计完成的电路布局、布线和物理实现等工作。这个阶段主要包括以下几个步骤: 物理设计规划:...

2023-09-14 标签:芯片芯片设计仿真 1047 0

芯片设计中逻辑仿真和数字验证介绍

芯片设计的逻辑仿真和数字验证是芯片设计流程中非常重要的一环,它主要用于验证芯片的功能和时序等方面的正确性。下面是逻辑仿真和数字验证的一般流程: 设计规格...

2023-09-14 标签:芯片芯片设计仿真 773 0

使用Virtual Eval工具了解AD7124-4/8的时序性能

在这个演示视频中,我们将使用Virtual Eval工具来了解AD7124-4/8的时序性能,并演示Virtual Eval工具的作用。

2023-09-07 标签:adcVirtual时序 468 0

如何在EDA工具中屏蔽冗余的违例信息

在进行数字电路后仿真时,经常会遇到很多时序为例,通常这些违例都是由网表中大量的时序检查报出的。这些常见的时序检查系统任务如下表所示:

2023-08-19 标签:仿真eda数字电路 762 0

相关标签

相关话题

换一批
  • Protues
    Protues
    +关注
    Proteus软件是英国Lab Center Electronics公司出版的EDA工具软件(该软件中国总代理为广州风标电子技术有限公司)。它不仅具有其它EDA工具软件的仿真功能,还能仿真单片机及外围器件。
  • 静电防护
    静电防护
    +关注
    为防止静电积累所引起的人身电击、火灾和爆炸、电子器件失效和损坏,以及对生产的不良影响而采取的防范措施。其防范原则主要是抑制静电的产生,加速静电泄漏,进行静电中和等。
  • Altium Designer
    Altium Designer
    +关注
  • ArduBlock
    ArduBlock
    +关注
    ArduBlock软件是Arduino官方编程环境的第三方软件,目前必须依附于Arduino软件下运行,区别于Arduino文本式编程环境,ArduBlock是以图形化积木搭建的方式编程的,这样的方式会使编程的可视化和交互性加强,编程门槛降低,即使没有编程经验的人也可以尝试给Arduino控制器编写程序。
  • AD10
    AD10
    +关注
  • 识别
    识别
    +关注
  • PCB封装
    PCB封装
    +关注
    pcb封装就是把 实际的电子元器件,芯片等的各种参数(比如元器件的大小,长宽,直插,贴片,焊盘的大小,管脚的长宽,管脚的间距等)用图形方式表现出来,以便可以在画pcb图时进行调用。
  • PCB封装库
    PCB封装库
    +关注
  • AD09
    AD09
    +关注
  • QuickPcb
    QuickPcb
    +关注
  • Protel 99 se
    Protel 99 se
    +关注
  • candence
    candence
    +关注
  • 面包板
    面包板
    +关注
    面包板是由于板子上有很多小插孔,专为电子电路的无焊接实验设计制造的。由于各种电子元器件可根据需要随意插入或拔出,免去了焊接,节省了电路的组装时间,而且元件可以重复使用,所以非常适合电子电路的组装、调试和训练。
  • 特性阻抗
    特性阻抗
    +关注
    特性阻抗又称特征阻抗,它不是直流电阻,属于长线传输中的概念。特性阻抗是射频传输线影响无线电波电压、电流的幅值和相位变化的固有特性,等于各处的电压与电流的比值,用V/I表示。在射频电路中,电阻、电容、电感都会阻碍交变电流的流动,合称阻抗。电阻是吸收电磁能量的,理想电容和电感不消耗电磁能量。
  • Protel DXP
    Protel DXP
    +关注
  • 布局布线
    布局布线
    +关注
  • 库文件
    库文件
    +关注
    库文件是计算机上的一类文件,提供给使用者一些开箱即用的变量、函数或类。库文件分为静态库和动态库,静态库和动态库的区别体现在程序的链接阶段:静态库在程序的链接阶段被复制到了程序中;动态库在链接阶段没有被复制到程序中,而是程序在运行时由系统动态加载到内存中供程序调用。使用动态库系统只需载入一次,不同的程序可以得到内存中相同的动态库的副本,因此节省了很多内存,而且使用动态库也便于模块化更新程序。
  • 清华紫光
    清华紫光
    +关注
  • PCB天线
    PCB天线
    +关注
  • AD软件
    AD软件
    +关注
  • Genesis2000
    Genesis2000
    +关注
  • 敷铜板
    敷铜板
    +关注
  • Altium_Designer
    Altium_Designer
    +关注
    Altium Designer 是原Protel软件开发商Altium公司推出的一体化的电子产品开发系统,主要运行在Windows操作系统。这套软件通过把原理图设计、电路仿真、PCB绘制编辑、拓扑逻辑自动布线、信号完整性分析和设计输出等技术的完美融合
  • 拼接
    拼接
    +关注
  • PCB制板
    PCB制板
    +关注
  • PADS9.5
    PADS9.5
    +关注
  • 封装设计
    封装设计
    +关注
  • 光绘文件
    光绘文件
    +关注
  • 感应式
    感应式
    +关注
  • 直角走线
    直角走线
    +关注

关注此标签的用户(5人)

jf_85541793 szcjwu jf_49017572 黄英庆 jf_26420833

编辑推荐厂商产品技术软件/工具OS/语言教程专题

电机控制 DSP 氮化镓 功率放大器 ChatGPT 自动驾驶 TI 瑞萨电子
BLDC PLC 碳化硅 二极管 OpenAI 元宇宙 安森美 ADI
无刷电机 FOC IGBT 逆变器 文心一言 5G 英飞凌 罗姆
直流电机 PID MOSFET 传感器 人工智能 物联网 NXP 赛灵思
步进电机 SPWM 充电桩 IPM 机器视觉 无人机 三菱电机 ST
伺服电机 SVPWM 光伏发电 UPS AR 智能电网 国民技术 Microchip
瑞萨 沁恒股份 全志 国民技术 瑞芯微 兆易创新 芯海科技 Altium
德州仪器 Vishay Micron Skyworks AMS TAIYOYUDEN 纳芯微 HARTING
adi Cypress Littelfuse Avago FTDI Cirrus LogIC Intersil Qualcomm
st Murata Panasonic Altera Bourns 矽力杰 Samtec 扬兴科技
microchip TDK Rohm Silicon Labs 圣邦微电子 安费诺工业 ixys Isocom Compo
安森美 DIODES Nidec Intel EPSON 乐鑫 Realtek ERNI电子
TE Connectivity Toshiba OMRON Sensirion Broadcom Semtech 旺宏 英飞凌
Nexperia Lattice KEMET 顺络电子 霍尼韦尔 pulse ISSI NXP
Xilinx 广濑电机 金升阳 君耀电子 聚洵 Liteon 新洁能 Maxim
MPS 亿光 Exar 菲尼克斯 CUI WIZnet Molex Yageo
Samsung 风华高科 WINBOND 长晶科技 晶导微电子 上海贝岭 KOA Echelon
Coilcraft LRC trinamic
放大器 运算放大器 差动放大器 电流感应放大器 比较器 仪表放大器 可变增益放大器 隔离放大器
时钟 时钟振荡器 时钟发生器 时钟缓冲器 定时器 寄存器 实时时钟 PWM 调制器
视频放大器 功率放大器 频率转换器 扬声器放大器 音频转换器 音频开关 音频接口 音频编解码器
模数转换器 数模转换器 数字电位器 触摸屏控制器 AFE ADC DAC 电源管理
线性稳压器 LDO 开关稳压器 DC/DC 降压转换器 电源模块 MOSFET IGBT
振荡器 谐振器 滤波器 电容器 电感器 电阻器 二极管 晶体管
变送器 传感器 解析器 编码器 陀螺仪 加速计 温度传感器 压力传感器
电机驱动器 步进驱动器 TWS BLDC 无刷直流驱动器 湿度传感器 光学传感器 图像传感器
数字隔离器 ESD 保护 收发器 桥接器 多路复用器 氮化镓 PFC 数字电源
开关电源 步进电机 无线充电 LabVIEW EMC PLC OLED 单片机
5G m2m DSP MCU ASIC CPU ROM DRAM
NB-IoT LoRa Zigbee NFC 蓝牙 RFID Wi-Fi SIGFOX
Type-C USB 以太网 仿真器 RISC RAM 寄存器 GPU
语音识别 万用表 CPLD 耦合 电路仿真 电容滤波 保护电路 看门狗
CAN CSI DSI DVI Ethernet HDMI I2C RS-485
SDI nas DMA HomeKit 阈值电压 UART 机器学习 TensorFlow
Arduino BeagleBone 树莓派 STM32 MSP430 EFM32 ARM mbed EDA
示波器 LPC imx8 PSoC Altium Designer Allegro Mentor Pads
OrCAD Cadence AutoCAD 华秋DFM Keil MATLAB MPLAB Quartus
C++ Java Python JavaScript node.js RISC-V verilog Tensorflow
Android iOS linux RTOS FreeRTOS LiteOS RT-THread uCOS
DuerOS Brillo Windows11 HarmonyOS
林超文PCB设计:PADS教程,PADS视频教程 郑振宇老师:Altium Designer教程,Altium Designer视频教程
张飞实战电子视频教程 朱有鹏老师:海思HI3518e教程,HI3518e视频教程
李增老师:信号完整性教程,高速电路仿真教程 华为鸿蒙系统教程,HarmonyOS视频教程
赛盛:EMC设计教程,EMC视频教程 杜洋老师:STM32教程,STM32视频教程
唐佐林:c语言基础教程,c语言基础视频教程 张飞:BUCK电源教程,BUCK电源视频教程
正点原子:FPGA教程,FPGA视频教程 韦东山老师:嵌入式教程,嵌入式视频教程
张先凤老师:C语言基础视频教程 许孝刚老师:Modbus通讯视频教程
王振涛老师:NB-IoT开发视频教程 Mill老师:FPGA教程,Zynq视频教程
C语言视频教程 RK3566芯片资料合集
朱有鹏老师:U-Boot源码分析视频教程 开源硬件专题