电子发烧友网 > 可编程逻辑 > 正文

国产化率仅4%,中国FPGA厂商在这些领域发力!

2020年06月01日 08:52 次阅读

根据MRFR统计,2019年全球 FPGA 市场规模约为69亿美元。在5GAI的推动下,2025年市场规模有望达到125亿美元,年复合增长率为10.22%。其中亚太地区是重要的增量市场,随着新兴建设应用的逐步展开,2025 年市场规模将达到近55亿美元。



2018年Xilinx和Altera分别占据全球市场56%和31%。在中国FPGA市场中,占比达52%和28%。国内FPGA市场大约120亿,其中民用市场100亿,FPGA市场国产率约为4%。
 

 
面对这样的现状,国产FPGA厂商纷纷加快了技术研发和市场步伐。在最近,由电子发烧友网主办的“国产FPGA芯片在细分领域有哪些发展?”的线上视频直播活动中,来自高云半导体、紫光同创、京微齐力的专家们对当前国产FPGA的现状与发展做出了很好的分析与讨论。

近两年国产FPGA进展快速

FPGA主要有五大类应用,分别是通信、工业、消费、汽车和数据中心。国产FPGA厂商在中低密度FPGA应用上取得了突破。高云半导体市场副总裁兼中国区销售总监黄俊谈到,国产厂商在通信、工控、汽车、消费类等各行各业已经实现渗透,但每个市场的出货比重仍然偏少。
 

图:高云半导体市场副总裁兼中国区销售总监黄俊

 
紫光同创市场总监吕喆表示,国产FPGA这两年发展较快,在55纳米和40纳米两个工艺平台出货量较大,接下来还需要加快先进工艺平台的投入和布局,促进国产FPGA在数据中心、高性能计算、AI等应用市场的增长。
 
京微齐力产品与应用支持部总监倘余清认为,从逻辑资源角度,国产厂商主要在CPLD、25k逻辑资源以下的市场有大批量的出货。并且也有大客户导入设计。京微齐力主要投入在40纳米工艺,55纳米投入不多。未来40纳米和28纳米在中低端替代上空间巨大,京微齐力在50K-100K逻辑资源以内进行突破。
 
除了上述三家国产FPGA厂商之外,还有安路科技、西安智多晶、复旦微电子等国内厂商,他们都在近几年呈现了较快的发展。
 
尤其在中兴、华为事件之后,在加速供应链本土化的进程中,国产芯片厂商得到了终端客户积极地接纳,获得了更快速地成长机会。

加速发展,但弯道超车难度较大

FPGA巨头XILINX在30年代发明了FPGA芯片,无论从发展时间、专利数量、市场份额等方面国外几家厂商都处于领先地位。那么国内FPGA厂商的差距有多大,有机会弯道超车吗?
 
三位嘉宾从工艺、软件、人才、供应链和生态几个方面分析了国产FPGA厂商的现状。
 
吕喆认为,FPGA的核心专利大部分掌握在国外厂商手中,在核心专利没有过期的情况下,要绕开这些专利,不仅要加大研发投入,还要因此付出更多的成本,消耗更大的芯片面积和功耗,这实际上制约了FPGA初创公司的发展。

图:紫光同创市场总监吕喆 


再看研发投入,XILINX每年研发投入占销售额的20%,2019年差不多7亿美金,其产品系列非常多,涵盖6大产品系列上百种产品,这些投入也是国产厂商所不具备的。紫光同创目前拥有3大产品家族共5个产品系列,覆盖3个工艺平台,已上市近30种产品型号,是目前产品品类最丰富的国产FPGA厂商。供应链的配套方面,从晶圆代工到封测,国内产业仍比较欠缺。另外,FPGA的高端人才大多聚集在北美,国内人才缺失也是一大问题。生态应用端,与下游应用厂商的合作也相对落后。为此,国内FPGA产业需要有“换道”的意识,除了在传统FPGA器件领域布局之外,还需要加大创新架构、细分市场的投入。从应用端需求出发,开发差异化的产品,才能提升竞争力。
 
倘余清补充道,从专利角度看,FPGA的专利分为核心与非核心专利,XILINX拥有最多的专利,其核心专利约有500-800件。国内厂商要在基本逻辑结构、DSP结构、BLOCK RAM等方面申请一些必要的专利,这对今后公司做大做强,乃至上市都至关重要。因为若前期积累不够,往后的代价会更大。
 
“如果说工艺迭代可以用资金来解决,那么用于FPGA的EDA软件迭代更重要,国内也更欠缺。”黄俊表示,EDA软件的提升一方面需求终端客户的使用配合,加速迭代,另一方面也需要EDA软件人才,这一块的人才非常缺失。
 
在多方面都落后和不足的情况下,要实现弯道超车,三位嘉宾均表示至少在近几年都无法实现。特别是越发展到中高密度逻辑容量,无论硬件还是软件方面难度都比较大。目前国产FPGA厂商可以增量市场入手,寻找差异化竞争,这或许是某种角度理解的“弯道超车”。

国产替代,优势有哪些?

工程师认知大幅提升,本地化支持更贴近用户
 
国产FPGA虽说起步晚,但如今的市场接纳程度也迎来了最好的时候。黄俊谈及,十多年前国内工程师甚至还不懂得FPGA,推广相当吃力。也幸好有这十多年的积累,中国市场FPGA的用户数量、工程师的认知基础都有了极大的提升。中国作为最重要的应用市场,推广速度、迭代速度都非常迅速。
 
国内厂商拥有的本地支持的优势也是工程师们认可的一个因素。在中兴华为事件后,国内许多细分市场的龙头企业加速了对国产FPGA的导入,这一类客户,国内厂商先从小项目开始导入。面对一些新兴市场,通过提供解决方案获得客户认可。黄俊表示,这类客户,我们帮助其完成整个方案设计,加强支持力度,及时响应要求,加强FAE和AE团队,从而进行渗透。
 
在培育市场前期,可以通过举办设计竞赛等活动拉近与电子工程师的距离,吕喆提到,紫光同创为此做了两个大学生设计竞赛活动,并提供入门级学习型开发板等,方便初学者、爱好者进行学习开发。
 
另外,倘余清表示,客户看中器件带来的功耗、成本和性能三者的平衡,尤其是功耗,国产芯片若能为客户提供更好的功耗优化,不断在产品细节上发力,会更容易得到客户的认可。

图:京微齐力产品与应用支持部总监倘余清


供应有保障,更注重供应质量
 
黄俊分析道,FPGA的供货主要分为晶圆代工和封装测试两个时间周期,通常晶圆代工厂的供货周期为三到四个月,封测厂一个多月,因此,从投片到芯片出货基本上需要半年时间。那么,FPGA厂商一般会备有一定的库存。FPGA芯片经历从十万片到上千万片的量产,芯片质量经过不同阶段的量产迭代,已经能够保证其产品批量质量。
 
FPGA芯片的出货量与存储芯片、CPU等相距甚远,达到千万量级已经是不错的水准。吕喆表示,国产FPGA芯片经过送样到客户批量的整个过程会对芯片质量进行大量的验证和调试,尤其大客户对芯片质量要求更加严格,而这一系列过程国产芯片都能够做到良好的质量把握。
 
由于目前国内FPGA芯片的逻辑容量还不大,集中在25K至50K以内,因此整个测试成本占比不大。倘余清认为,随着逻辑容量的增加,国内厂商需要找到更高效的测试方法,这是把控芯片质量的一个重要因素。例如可以通过增加芯片的冗余来增强产品的可靠性。
 
因此,国产FPGA的供应无论从数量还是质量上来看,都能够满足所需,良好的出货和品质管控也为日后的市场拓展提供更好的经验支持。
 
国产FPGA芯片助力新兴应用
 
5G+AI被认为是国产FPGA发展的机遇,然而这个机会点也是所有FPGA厂商的机会,作为国内厂商还是要立足于目前芯片能够覆盖到的相关应用去拓展。
 
吕喆说,5G对国产厂商必定是增量市场,尤其是越靠近终端设备的数量多,小基站数量多。不过,越往核心网、骨干网发展,对芯片规模、SERDES的要求更高,这一类高端FPGA的应用目前国产厂商暂时无法覆盖。在低端的,与5G相关的通信设备里抓一些增量市场比较可行。AI应用上,FPGA由于实时性好,并行运算能力强,主要应用于边缘端和云端AI的推断,受限于功耗和尺寸,在手持终端上的应用不会太多。对于这些新兴应用市场,紫光同创都有所布局。
 
在5G高端应用上,国产芯片厂商暂时在一到三年恐怕都不一定能够突破,主要还是在数据量要求不高的中低端应用市场。基于这个判断,倘余清建议国内厂商可针对性地做优化,根据技术特点找到细分市场机会。例如在图像AI领域,通过逻辑资源和DRAM方面的优化切入。
 
在CPLD、10K以内小容量的市场,国产厂商在5G通信设备层面拥有较多的替代机会,黄俊表示国内厂商在通信设备市场的导入近几年发展较快,另一个机会是5G覆盖到的很多应用场景,例如沉浸式体验类的VR/AR,会随着5G的到来出现新的机会,国内厂商在芯片尺寸、功耗、性能等方面持续优化,将能够争取到这样的机会。AI方面,高云推出了入门级加速器,包括软核处理器或硬化的Arm Cortex-M3处理器,将嵌入式处理器及FPGA加速器结合,用于图像识别等应用。

客户开案多,下半年到明年,国产FPGA乐观向上

出乎意料的是,今年初以来的新冠疫情,对于FPGA行业来说并没有太多的影响。三位嘉宾对今年下半年到明年的发展形势都比较乐观。
 
原因是,上半年许多客户都在紧锣密鼓地进行新的产品设计,这些需求将在下半年甚至明年得到释放。另外,疫情以来,在测温仪、口罩机等市场FPGA厂商适时切入到这些抗疫相关的产品市场,获得增长。还有,5G建设加速,也提振了行业信心,加快了FPGA的市场步伐。
 
整体看,随着中低端产品继续向各类应用市场渗透,FPGA芯片走向中高端是发展趋势,那么28纳米国产FPGA芯片就进入到了关键时刻,这方面还需要国产FPGA芯片厂商继续努力突破。
 
本文由电子发烧友网原创,未经授权禁止转载。如需转载,请添加微信号elecfans999.


 

下载发烧友APP

打造属于您的人脉电子圈

关注电子发烧友微信

有趣有料的资讯及技术干货

关注发烧友课堂

锁定最新课程活动及技术直播

电子发烧友观察

一线报道 · 深度观察 · 最新资讯
收藏 人收藏
分享:

评论

相关推荐

写好状态机--从2019年全国FPGA竞赛谈Verilog编码技巧

理解Verilog编码技巧掌握FPGA中状态机的写法掌握非重叠序列检测代器Verilog代码编写
发烧友学院发表于 2020-04-21 00:00 16655次阅读
写好状态机--从2019年全国FPGA竞赛谈Verilog编码技巧

FPGA的基本组成结构

对于FPGA的学习者而言,怎样学习FPGA是大家争论不断的。有的认为要先学习语言,也就是HDL硬件描....
发表于 2020-06-01 09:07 2次阅读
FPGA的基本组成结构

FPGA中隐藏了安全漏洞?给FPGA产业将带来哪...

这段时间,波鸿鲁尔大学霍斯特·戈茨IT安全研究所和马克斯·普朗克网络安全与隐私保护研究所的研究人员在....
发表于 2020-06-01 08:49 2次阅读
FPGA中隐藏了安全漏洞?给FPGA产业将带来哪...

如何使用IPROG从加密映像引导?

大家好, 我有一个闪存配置,在0x0000地址处有一个非加密图像,使用IPROG命令指向另一个加密图像。 因此,FP...
发表于 2020-05-29 16:26 27次阅读
如何使用IPROG从加密映像引导?

小白请教,串口接收的问题

板子上串口接收的引脚用手触碰一下或者不碰在其上方放(没有碰到引脚 手指悬空状态)一会儿都可以正常工作,否则一直不...
发表于 2020-05-29 15:09 83次阅读
小白请教,串口接收的问题

Virtex7 PCIe硬IP是否意味着FPGA内部的ASIC

Virtex 7 PCIe硬IP是否意味着FPGA内部的ASIC? 或者像Tandem方法一样,在第一阶段,CPLD将PCI...
发表于 2020-05-29 12:52 66次阅读
Virtex7 PCIe硬IP是否意味着FPGA内部的ASIC

IMPACT无法编程fpga和spi flash怎么办

嗨,大家好, 我正在使用带有2个FPGA的电路板,Spartan 6XC6SLX75T和XC6SLX150T。 这些器件的JT...
发表于 2020-05-29 12:27 16次阅读
IMPACT无法编程fpga和spi flash怎么办

如何在Virtex-7 FPGA中实现动态部分配置

嗨, 如何在Virtex-7 FPGA中实现动态部分配置? 问候, Suresh Palani...
发表于 2020-05-29 11:30 36次阅读
如何在Virtex-7 FPGA中实现动态部分配置

安路科技国产FPGA项目荣获2019上海市科技进...

上海市科学技术奖由上海市人民政府设立,重点奖励科学发现和技术发明原始性创新、促进经济发展方式转变和培....
发表于 2020-05-29 10:14 104次阅读
安路科技国产FPGA项目荣获2019上海市科技进...

FPGA三个按键给同一个信号赋三个不同的值按键回弹为 000 后变量的值将改变怎么解决?

FPGA 按键问题,三个按键给同一个信号赋三个不同的值,可是按键回弹为 000 后变量的值将改变,如何解决这个问题呢...
发表于 2020-05-29 09:33 18次阅读
FPGA三个按键给同一个信号赋三个不同的值按键回弹为 000 后变量的值将改变怎么解决?

XCF08P配置V4FX20 FPGA在哪里找到的包装和尺寸?

嗨, 我使用XCF08P配置V4FX20 FPGA。 但我没有找到它的包装和尺寸。 在数据表中,它表​​示“仅适用于...
发表于 2020-05-29 08:34 14次阅读
XCF08P配置V4FX20 FPGA在哪里找到的包装和尺寸?

USB-JTAG编程电缆问题如何解决

大家好, 我有一个NetFPGA-1G-CML板。 当我通过USB-JTAG编程电缆连接FPGA时,这根电缆变得非常...
发表于 2020-05-29 07:06 19次阅读
USB-JTAG编程电缆问题如何解决

通过FPGA重新配置闪存芯片,可行吗?

FPGA:v7130t 我想通过FPGA将配置文件写入SPI flash,如果可行的话? 谢谢...
发表于 2020-05-29 07:03 13次阅读
通过FPGA重新配置闪存芯片,可行吗?

哪里有FPGA和FPGA开发板或套件

嗨... 我需要FPGA和FPGA开发板或套件? 但是我需要的要求是: 1-PCI Express连接 2千兆以太网连接 3...
发表于 2020-05-29 06:39 15次阅读
哪里有FPGA和FPGA开发板或套件

什么是FPGA_FPGA的编程技术

FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在P....
发表于 2020-05-28 16:40 115次阅读
什么是FPGA_FPGA的编程技术

可编程逻辑器件的原理与结构说明

存储器用来存储二进制信息。根据功能不同,半导体存储器可分为两大类:随机存取存储器(RAM)和只读存储....
发表于 2020-05-28 16:22 64次阅读
可编程逻辑器件的原理与结构说明

Xilinx FPGA提供DDR4内存接口解决方...

Xilinx 提供了UltraScaleFPGA器件的高性能DDR4内存解决方案,每秒数据速率高达2....
发表于 2020-05-28 15:00 63次阅读
Xilinx FPGA提供DDR4内存接口解决方...

贸泽电子宣布即日起分销基于Xilinx的工业级S...

贸泽供应的Trenz Electronic TE0803 MPSoC SoM集成了 Xilinx Z....
发表于 2020-05-28 14:27 159次阅读
贸泽电子宣布即日起分销基于Xilinx的工业级S...

通过2D NoC可实现FPGA内部超高带宽逻辑互...

Achronix 最新基于台积电(TSMC)的7nm FinFET工艺的Speedster7t FP....
发表于 2020-05-28 10:27 65次阅读
通过2D NoC可实现FPGA内部超高带宽逻辑互...

2020 人工智能卓越创新奖,请为Xilinx打...

赛灵思: FPGA、可编程 SoC,以及 ACAP 自适应计算加速的发明者,“致力于打造灵活应变,万....
发表于 2020-05-27 11:38 448次阅读
2020 人工智能卓越创新奖,请为Xilinx打...

Teledyne进一步增强数据转换器,为加速FP...

为响应可编程逻辑技术的不断发展,Teledyne e2v进一步增强了其数据转换器产品组合以及支持它们....
发表于 2020-05-27 10:05 82次阅读
Teledyne进一步增强数据转换器,为加速FP...

Xilinx全新Virtex UltraScal...

VU23P 具备一系列卓越特性,它在 Virtex UltraScale 产品组合中实现了最高的查找....
发表于 2020-05-27 08:57 235次阅读
Xilinx全新Virtex UltraScal...

生而为速,Xilinx专为联网和存储加速优化推出...

VU23P 具备一系列卓越特性,它在 Virtex UltraScale 产品组合中实现了最高的查找....
发表于 2020-05-26 15:34 236次阅读
生而为速,Xilinx专为联网和存储加速优化推出...

莱迪思Nexus技术平台,重新定义低功耗小尺寸F...

物联网AI、嵌入式视觉、硬件安全、5G通信、工业和汽车自动化等新兴应用正在重新定义开发人员设计网络边....
发表于 2020-05-26 10:34 116次阅读
莱迪思Nexus技术平台,重新定义低功耗小尺寸F...

功率分析仪的测量通道如何扩展

什么是功率分析仪?它有什么作用?随着新能源汽车的快速发展,汽车工业现场的测试需求也越来越高,往往需要....
发表于 2020-05-24 10:39 738次阅读
功率分析仪的测量通道如何扩展

Teledyne的数据转换平台可与Xilinx的...

为了辅助Xilinx热门产品20nm Kintex UltraScale KU060 FPGA,Te....
发表于 2020-05-23 10:15 119次阅读
Teledyne的数据转换平台可与Xilinx的...

在嵌入式视觉设计中使用FPGA,它将带来什么优势

过去几年里,嵌入式视觉应用大量涌现,包括从相对简单的智能视觉门铃到执行随机拾取和放置操作的复杂的工业....
发表于 2020-05-23 09:57 404次阅读
在嵌入式视觉设计中使用FPGA,它将带来什么优势

可重构架构技术的快速发展,未来将应用于太空

如今,技术的进步使得低轨飞行越来越容易商用化。赛灵思太空产品系统架构师Minal Sawant表示,....
发表于 2020-05-22 10:35 160次阅读
可重构架构技术的快速发展,未来将应用于太空

AI观察室|无需实体FPGA也能AI部署?听听清...

曾书霖:在研究中,我们对公有云和私有云两种场景进行了区分(如下图所示)。公有云主要强调用户之间的隔离....
发表于 2020-05-21 09:02 554次阅读
AI观察室|无需实体FPGA也能AI部署?听听清...

Xilinx Kintex-7 FPGA开发板支...

随着全球首个28nmFPGA的推出,赛灵思为设计人员提供了最广泛的可编程平台,包括新型器件的多功能性....
发表于 2020-05-20 15:27 159次阅读
Xilinx Kintex-7 FPGA开发板支...

英特尔Arria 10 SOC FPGA开发板硬...

英特尔的SoC开发套件提供了开发定制ARM快速和简单的方法*处理器的SoC设计。设计生产率是Arri....
发表于 2020-05-20 14:05 73次阅读
英特尔Arria 10 SOC FPGA开发板硬...

利用Microchip PolarFire FP...

随着人工智能、机器学习技术和物联网的兴起,应用开始向收集数据的网络边缘迁移。为缩小体积、减少产热、提....
发表于 2020-05-20 10:47 166次阅读
利用Microchip PolarFire FP...

支持Xilinx FPGA中的32位 DDR4 ...

尽管现代FPGA包含内部存储器,但可用存储器的数量始终比专用存储器芯片的存储器数量级低几个数量级。因....
发表于 2020-05-19 17:35 73次阅读
支持Xilinx FPGA中的32位 DDR4 ...

英特尔AGILEX FPGA如何与CXL实现相互...

自从英特尔几年前以167亿美元的价格收购Altera以来,FPGA产品组合的推出基本上是英特尔时代之....
发表于 2020-05-19 10:46 427次阅读
英特尔AGILEX FPGA如何与CXL实现相互...

基于EP2C8Q208和TMS320VC5416...

当前,许多领域越来越多地要求具有高精度A/D转换和实时处理功能。同时,市场对支持更复杂的显示和通信接....
发表于 2020-05-19 10:11 226次阅读
基于EP2C8Q208和TMS320VC5416...

关于低功耗FPGA的8b/10b SERDES的...

串行接口常用于芯片至芯片和电路板至电路板之间的数据传输。随着系统带宽不断增加至多吉比特范围,并行接口....
发表于 2020-05-18 10:51 138次阅读
关于低功耗FPGA的8b/10b SERDES的...

美高森美和Athena宣布为加密用户提供DPA防...

现已提供功能强大的防篡改安全微控制器技术,作为用于SmartFusion2和 IGLOO2 FPGA....
发表于 2020-05-15 10:56 275次阅读
美高森美和Athena宣布为加密用户提供DPA防...

阿里巴巴携手英特尔开发一款基于FPGA的解决方案

通过使用英特尔® Arria® 10 FPGA、基于英特尔® 至强® 处理器的服务器及软件开发工具构....
发表于 2020-05-14 10:53 133次阅读
阿里巴巴携手英特尔开发一款基于FPGA的解决方案

在FPGA中处理AI/ML工作负载的新块浮点运算...

FPGA是一种半定制电路,主要应用于专用集成电路,在航空航天/国防、消费电子、电子通讯等领域有着不可....
发表于 2020-05-13 11:06 280次阅读
在FPGA中处理AI/ML工作负载的新块浮点运算...

FPGA运算单元技术创新可支持高算力浮点

随着机器学习(Machine Learning)领域越来越多地使用现场可编程门阵列(FPGA)来进行....
发表于 2020-05-12 10:46 103次阅读
FPGA运算单元技术创新可支持高算力浮点

FPGA或SoC电源的应用中的集成柔性功率器件使...

使用四个独立的器件为这个系统供电:两个LP3982 300mA单通道LDO和两个TLV62084 2....
发表于 2020-05-10 12:03 402次阅读
FPGA或SoC电源的应用中的集成柔性功率器件使...

英特尔构建智慧云基石,推动企业在数字经济时代前进

“后新冠时代”,在线(云)上,人与人的交流变得更加容易。4月底,“英特尔构建智慧云基石”线上沙龙如约....
发表于 2020-05-09 14:46 1518次阅读
英特尔构建智慧云基石,推动企业在数字经济时代前进

MathWorks在FPGA和ASIC上成功实现...

MathWorks宣布,随着 2019b 发行版的 MATLAB 和 Simulink 产品系列最近....
发表于 2020-05-09 10:55 97次阅读
MathWorks在FPGA和ASIC上成功实现...

DIC EXPO国际显示展特约专题丨时移世易 显...

背景介绍 视频处理是人工智能应用中的一个重要方向,对于一款端上部署的AI加速产品,其视频接入能力是产....
发表于 2020-05-09 10:04 425次阅读
DIC EXPO国际显示展特约专题丨时移世易 显...

基于FPGA数据采集电路和USB接口总线实现虚拟...

随着目前科学技术的发展,电子技术的应用领域越来越广。电子测试测量仪器作为电子技术的基础,其应用范围也....
发表于 2020-05-09 09:13 226次阅读
基于FPGA数据采集电路和USB接口总线实现虚拟...

片上网络技术的发展给FPGA带来了什么优势

在摩尔定律的推动下,集成电路工艺取得了高速发展,单位面积上的晶体管数量不断增加。
发表于 2020-05-08 11:03 538次阅读
片上网络技术的发展给FPGA带来了什么优势

贸泽备货Microchip Hello FPGA...

FPGA主板搭载了Microchip PIC32MX7微控制器 ,用于控制SmartFusion2 ....
发表于 2020-05-07 08:48 171次阅读
贸泽备货Microchip Hello FPGA...

高云半导体发布新款射频FPGA,可用手机蓝牙编程

由于功耗通常是蓝牙设备的关键考虑因素,高云半导体GW1NRF-4设备包括一个电源管理单元,该单元支持....
发表于 2020-05-06 14:19 393次阅读
高云半导体发布新款射频FPGA,可用手机蓝牙编程

2D NoC可实现FPGA内部超高带宽的逻辑互连

Achronix 最新基于台积电(TSMC)的7nm FinFET工艺的Speedster7t FP....
发表于 2020-05-04 09:43 94次阅读
2D NoC可实现FPGA内部超高带宽的逻辑互连

采用Fusion FPGA实现扩散炉温控系统的软...

当前国内外温控设备以单路控制居多,只能控制一路加热没备。在国内,可以对高温设备同时多路温度监控系统的....
发表于 2020-05-03 10:35 259次阅读
采用Fusion FPGA实现扩散炉温控系统的软...

清华大学提出了针对深度学习加速的FPGA虚拟化方...

而如图1 (b) 所示,在私有云的情况下,我们希望使得系统总的性能最优。如果FPGA允许多个用户使用....
发表于 2020-04-30 16:19 727次阅读
清华大学提出了针对深度学习加速的FPGA虚拟化方...

FPGA中隐藏了一个安全漏洞

首先,这次研究的是赛灵思的芯片,如果研究的是其他公司的芯片,是不是也有这个问题?肯定会有!因为破解人....
发表于 2020-04-30 15:49 653次阅读
FPGA中隐藏了一个安全漏洞

片上网络(NoC)技术的发展及其给高端FPGA带...

在摩尔定律的推动下,集成电路工艺取得了高速发展,单位面积上的晶体管数量不断增加。片上系统(Syste....
发表于 2020-04-30 15:41 1012次阅读
片上网络(NoC)技术的发展及其给高端FPGA带...

FPGA运算单元如今已能够支持高算力浮点

随着机器学习(Machine Learning)领域越来越多地使用现场可编程门阵列(FPGA)来进行....
发表于 2020-04-30 11:31 269次阅读
FPGA运算单元如今已能够支持高算力浮点

基于FPGA技术实现ADN2850的串口控制设计

数字电位器是利用微电子技术制成的集成电路,它是依靠电阻阵列和多路模拟开关的组合完成阻值的变化。它没有....
发表于 2020-04-30 09:34 289次阅读
基于FPGA技术实现ADN2850的串口控制设计

美乐威采用莱迪思半导体FPGA实现USB视频采集...

南京美乐威电子科技有限公司(“Magewell”)宣布将发布的多款最新USB 3.0视频采集设备中集....
发表于 2020-04-29 11:24 263次阅读
美乐威采用莱迪思半导体FPGA实现USB视频采集...