0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

CORDIC算法的原理及具体应用

电子硬件DIY视频 来源:电子硬件DIY视频 2019-11-13 07:09 次阅读

CORDIC(Coordinate Rotation Digital Computer)算法即坐标旋转数字计算方法,是J.D.Volder1于1959年首次提出,主要用于三角函数、双曲线、指数、对数的计算。该算法通过基本的加和移位运算代替乘法运算,使得矢量的旋转和定向的计算不再需要三角函数、乘法、开方、反三角、指数等函数。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • CORDIC
    +关注

    关注

    0

    文章

    35

    浏览量

    19840
  • 矢量
    +关注

    关注

    0

    文章

    94

    浏览量

    23649
收藏 人收藏

    评论

    相关推荐

    基于改进的CORDIC算法的FFT复乘及其FPGA实现

    耗费了FFT运算中大量的乘法器资源。CORDIC算法只需简单的移位与加减运算就能实现向量旋转,具有使用资源少、硬件规模小等优势。因此在FFT蝶形运算中用其代替传统FFT运算中的复数乘法器,可以获得更好
    发表于 07-11 21:32

    CORDIC算法求助

    请问CORDIC算法用verilog算法实现时,角度累加器中的45度,26.56度,14.04度怎么跟verilog语言相对应?
    发表于 07-11 20:18

    FPGA设计中必须掌握的Cordic算法

    大多数工程师在碰到需要在 FPGA 中实现诸如正弦、余弦或开平方这样的数学函数时,首先会想到的是用查找表,可能再结合线性内插或者幂级数(如果有乘法器可用)。不过对这种工作来说,CORDIC 算法
    发表于 09-19 09:07

    利用CORDIC 算法在FPGA 中实现可参数化的FFT

    针对在工业中越来越多的使用到的FFT,本文设计出了一种利用CORDIC 算法在FPGA 上实现快速FFT 的方法。CORDIC 实现复数乘法比普通的计算器有结构上的优势,并且采用了循环结构
    发表于 08-24 09:31 9次下载

    高性能HPOR CORDIC算法及实现

    CORDIC 算法在通信和图像处理等各个领域有着广泛的应用,但是浮点CORDIC 由于迭代延时大且实现复杂没有得到很好的应用,本文提出了一种修正浮点CORDIC
    发表于 12-15 14:27 14次下载

    利用CORDIC算法在FPGA中实现可参数化的FFT

    针对在工业中越来越多的使用到的FFT,本文设计出了一种利用CORDIC算法在FPGA上实现快速FFT的方法。CORDIC实现复数乘法比普通的计算器有结构上的优势,并且采用了循环结构的CORDI
    发表于 08-09 15:39 55次下载

    CORDIC 算法在三轴电子罗盘中的应用

    CORDIC算法是用于计算三角、反三角、指数、对数等超越函数的简捷算法。将该算法应用在以单片机为核心的三轴电子罗盘中,用于实现罗盘的倾斜补偿并计算俯仰角、横滚角和航向
    发表于 10-18 16:52 0次下载

    基于CORDIC算法的载波同步锁相环设计

    研究了一种利用CORDIC算法的矢量及旋转模式对载波同步中相位偏移进行估计并校正的方法。设计并实现了基于CORDIC算法的数字锁相环。通过仿真,验证了设计的有效性和高效性。
    发表于 12-15 14:49 0次下载
    基于<b class='flag-5'>CORDIC</b><b class='flag-5'>算法</b>的载波同步锁相环设计

    基于CORDIC算法2FSK调制器的FPGA设计

    本文提出了应用CORDIC(Coordinate Rotation Digital Computer)算法实时计算正弦值的方案,并基于CORDIC算法在FPGA芯片上设计了2FSK调制
    发表于 05-31 10:22 1543次阅读
    基于<b class='flag-5'>CORDIC</b><b class='flag-5'>算法</b>2FSK调制器的FPGA设计

    cordic算法verilog实现(简单版)

    cordic算法verilog实现(简单版)(转载)module cordic(clk, phi, cos, sin); parameter W = 13, W_Z = 14; input clk; input [W_Z-1
    发表于 02-11 03:06 3084次阅读
    <b class='flag-5'>cordic</b><b class='flag-5'>算法</b>verilog实现(简单版)

    CORDIC IP产生SINE波形

    以ISE10.1软件为例,其集成的CORDIC算法IP为V3.0版本,具体步骤如下:
    发表于 02-11 11:16 2670次阅读
    用<b class='flag-5'>CORDIC</b> IP产生SINE波形

    基于FPGA的Cordic算法实现的设计与验证

    本文是基于FPGA实现Cordic算法的设计与验证,使用Verilog HDL设计,初步可实现正弦、余弦、反正切函数的实现。将复杂的运算转化成FPGA擅长的加减法和乘法,而乘法运算可以用移位运算代替
    发表于 07-03 10:18 2393次阅读
    基于FPGA的<b class='flag-5'>Cordic</b><b class='flag-5'>算法</b>实现的设计与验证

    基于CORDIC的高速Sobel算法实现

    为提高图像边缘检测的处理速度,提出一种基于CORDIC的高速Sobel算法实现。
    的头像 发表于 10-05 09:54 3332次阅读
    基于<b class='flag-5'>CORDIC</b>的高速Sobel<b class='flag-5'>算法</b>实现

    一文带你们了解什么是CORDIC算法

    CORDIC算法简介 在信号处理领域,CORDIC(Coordinate Rotation Digital Computer,坐标旋转数字计算机)算法具有重大工程意义。
    的头像 发表于 04-11 11:16 1.3w次阅读
    一文带你们了解什么是<b class='flag-5'>CORDIC</b><b class='flag-5'>算法</b>

    CORDIC算法简介

    在信号处理领域,CORDIC(Coordinate Rotation Digital Computer,坐标旋转数字计算机)算法具有重大工程意义。
    的头像 发表于 03-28 09:39 1286次阅读