0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

新思科技Fusion技术助力三星7LPP EUV工艺降低功耗、缩小面积并提高性能

章鹰观察 来源:电子发烧友 作者:厂商供稿 2018-07-05 14:15 次阅读

全球第一大芯片自动化设计解决方案提供商及全球第一大芯片接口IP供应商、信息安全和软件质量的全球领导者新思科技(Synopsys, Inc.,纳斯达克股票市场代码: SNPS )近日宣布,新思科技Design Platform Fusion 技术已通过三星认证,可应用于其7纳米(nm)低功耗+(LPP-Low Power Plus)工艺的极紫外(EUV)光刻技术。新思科技Design Platform为基于EUV单次曝光布线和连排打孔提供完备的全流程7LPP支持,以确保最大程度地实现设计的可布线性和利用率,同时最大限度地降低电压降(IR-drop)。新思科技的SiliconSmart® 库表征工具对于研发在该认证工艺上建立参考流程所使用的基础IP非常关键。三星已经认证了新思科技 Design Platform工具和参考流程,该流程与Lynx Design System兼容,配备用于自动化和设计最佳实践的脚本。该参考流程可通过三星Advanced Foundry Ecosystem (SAFE™) 计划获得。

三星电子代工市场营销团队副总裁Ryan Sanghyun Lee表示:“通过与新思科技的深入合作,我们7LPP工艺上的认证和参考流程将为我们共同的客户在设计上实现最低功耗、最佳性能和最优面积。使用经过验证并集成了Fusion技术的新思科技 Design Platform,我们的代工客户可以放心地使用新思科技最先进的EUV工艺量产他们的设计。”

新思科技设计事业部营销与商务开发副总裁Michael Jackson表示:“我们与三星的工具和参考流程合作重点在于使设计人员能够使用三星最新的EUV 7LPP工艺在最高可信度下获得最佳结果质量。采用集成了Fusion技术的新思科技Design Platform,可扩展7LPP参考流程将使设计人员能够轻松实现他们期望的设计和时间目标。”

基于ARMv8架构的64位Arm Cortex-A53处理器被用于结果质量(QoR)优化和流程认证。新思科技Design Platform 7LPP参考流程的关键工具和功能包括:

IC Compiler II布局和布线:基于EUV单次曝光的布线具备优化的7LPP设计规则支持,以及连排打孔以确保最大的设计可布线性和利用率,同时最大限度地减少电压降。

Design Compiler Graphical RTL综合:与布局布线结果的相关性,拥塞减少,优化的7LPP设计规则支持以及向IC Compiler II提供物理指导 。

IC Validator物理signoff:高性能DRC signoff,LVS感知型短路查找器、signoff填充、模式匹配和独特的采用Explorer技术的Dirty Data分析,以及带有DRC自动修复的设计内验证和在IC Compiler II中的准确感知时序的金属填充。

PrimeTime时序signoff:近阈值超低电压变化建模,过孔变化建模和感知布局规则的工程变更指令(ECO)指导。

StarRC™寄生参数提取:EUV基于单次曝光模式的布线支持,以及新的提取技术,如基于覆盖率的过孔电阻

RedHawk™Analysis Fusion:ANSYS® RedHawk™驱动的在IC Compiler II中的EM/IR分析和优化,包括过孔插入和电网增幅。

DFTMAX™和TetraMAX® II测试:基于FinFET、单元感知和基于时序裕量的转换测试以获得更高的测试质量。

Formality®形式验证:基于UPF、带状态转换验证的等价性检查。

目前可通过SAFE™计划获得与新思科技Lynx Design System兼容并经认证的可扩展参考流程。Lynx Design System是一个全芯片设计环境,包含创新的自动化和报告功能,可帮助设计人员实施和监控其设计。它包括一个生产化RTL-to-GDSII流程,可简化和自动化许多关键的设计实现和验证任务,使工程师能够专注于实现性能和设计目标。SAFE™计划提供由三星认证支持并经广泛测试的工艺设计套件(PDK)和参考流程(与设计方法)。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 三星电子
    +关注

    关注

    34

    文章

    15604

    浏览量

    180118
  • 新思科技
    +关注

    关注

    5

    文章

    716

    浏览量

    50065
  • 光刻技术
    +关注

    关注

    1

    文章

    131

    浏览量

    15642
收藏 人收藏

    评论

    相关推荐

    低功耗 高性能M0芯片亮点(1~3): 低功耗 宽电压 PWM (无须担心耗电问题!)

    低功耗高性能M0芯片亮点(1~3): 低功耗, 宽电压, PWM [url=https://www.bilibili.com/video/BV18K421v7Bw/][/url]
    发表于 03-15 16:53

    思科技携手英特尔加速Intel 18A工艺高性能芯片设计

    思科技数字和模拟 EDA 流程经过认证和优化,针对Intel 18A工艺实现功耗性能面积目标
    的头像 发表于 03-05 17:23 279次阅读

    思科技VC LP Advanced静态低功耗验证解决方案

    对于当今的移动高性能系统而言,低功耗设计至关重要。低功耗设计能够延长电池续航时间、降低能源成本,为消费者打造更出色的无缝体验,具有更好的可持续性。
    的头像 发表于 02-22 09:56 312次阅读
    新<b class='flag-5'>思科</b>技VC LP Advanced静态<b class='flag-5'>低功耗</b>验证解决方案

    三星电子在 EUV 曝光技术取得重大进展

    三星电子行业资讯
    深圳市浮思特科技有限公司
    发布于 :2023年12月05日 17:16:29

    优化EEG放大器的性能降低功耗的设计挑战

    电子发烧友网站提供《优化EEG放大器的性能降低功耗的设计挑战.pdf》资料免费下载
    发表于 11-28 11:40 0次下载
    优化EEG放大器的<b class='flag-5'>性能</b>并<b class='flag-5'>降低功耗</b>的设计挑战

    三星D1a nm LPDDR5X器件的EUV光刻工艺

    三星D1a nm LPDDR5X器件的EUV光刻工艺
    的头像 发表于 11-23 18:13 651次阅读
    <b class='flag-5'>三星</b>D1a nm LPDDR5X器件的<b class='flag-5'>EUV</b>光刻<b class='flag-5'>工艺</b>

    高性能低功耗的电机控制系统的设计

    电子发烧友网站提供《高性能低功耗的电机控制系统的设计.pdf》资料免费下载
    发表于 11-22 09:24 0次下载
    <b class='flag-5'>高性能</b>和<b class='flag-5'>低功耗</b>的电机控制系统的设计

    思科技加入“Arm全面设计”生态系统并提供IP和芯片设计服务

    的进入门槛并缩短上市时间。 基于全球IP使用协议,新思科技将为Arm提供用于流片前互操作性测试和性能分析的IP组合,搭载对接所有Arm处理器和子系统的片上演示系统,从而降低设计风险。 Arm支持中心提供用于
    的头像 发表于 11-17 09:24 414次阅读

    设计低功耗高性能的工业应用

    电子发烧友网站提供《设计低功耗高性能的工业应用.pdf》资料免费下载
    发表于 11-16 14:50 0次下载
    设计<b class='flag-5'>低功耗</b>和<b class='flag-5'>高性能</b>的工业应用

    思科技携手台积公司加速N2工艺下的SoC创新

    将有助于降低集成风险,并加快高性能计算、AI和移动SoC的上市时间。此外,包括新思科技DSO.ai在内的新思科技领先AI驱动型芯片设计技术
    的头像 发表于 10-24 16:42 506次阅读

    思科技携手台积公司加速2nm工艺创新,为先进SoC设计提供经认证的数字和模拟设计流程

    多个设计流程在台积公司N2工艺上成功完成测试流片;多款IP产品已进入开发进程,不断加快产品上市时间   摘要: 新思科技经认证的数字和模拟设计流程可提高高性能计算、移动和AI芯片的产品质量
    发表于 10-19 11:44 126次阅读

    低功耗数字IC设计方法及示例

    在之前的 IC 设计中,关注的参数主要是性能(timing)和面积(area)。 EDA 工具在满足性能要求的情况下,最小化面积功耗是一个
    发表于 09-21 07:38

    FreeRTOS如何降低功耗

    1、如何降低功耗? 一般的简单应用中处理器大量的时间都在处理空闲任务,所以我们就可以考虑当处理器处理空闲任务的时候就进入低功耗模式,当需要处理应用层代码的时候就将处理器从低功耗模式唤醒
    的头像 发表于 07-30 11:18 689次阅读

    两大IP扩大IP合作,新思科技携手三星加速新兴领域复杂SoC设计

    存储器、TCAM和GPIO,可以在各先进节点上提供行业领先的功耗性能面积(PPA) 新思科技车规级IP集成到三星
    的头像 发表于 07-26 17:40 281次阅读

    思科技与三星扩大IP合作,加速新兴领域先进SoC设计

    、UCIe、LPDDR、DDR、MIPI等广泛使用的协议中,并在三星工艺中实现高性能和低延迟 新思科技基础IP,包括逻辑库、嵌入式存储器、TCAM和GPIO,可以在各先进节点上提供行业
    发表于 06-30 13:40 356次阅读