0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

FPGA学习系列:19. rom到数码管显示设计

FPGA学习交流 2018-06-18 19:24 次阅读

设计背景:

rom是读写的的静态存储单元,在我们的设计中我们会经常用到,数码管模块同样的大大小小的设计还是工程应用中都有这至关重要的作用。

设计原理:

前几节我们学习了rom存储器的设计,还有数码管模块的设计,为了我们联系这两个模块的应用我们今天将设计一个按键来控制读取rom中的数据,来显示到数码管上。

因为我们的数码管模块的显示频率是10K,所以我们应该小于10K的频率给一个rom地址,从而出一个数据,我们的设计是0.5s出一个数据,这样我们就能在数码管上显示随着地址的增加,数据同样也在变化。

第一步我们要先设计我们的.mif文件,我们在前10个地址是上写入0 -- 10,然后建立一个rom 的ip核,添加进去从而便于后面的例化。

设计模块,我们前面讲过数码管模块,这样就可以直接拿过来用了,今天我们将主要给大家展示我们的rom控制模块。

设计架构图:

image.png

设计代码:

顶层模块

0modulerom_seg (clk,rst_n,key,sel,seg_n);

1

2 inputclk,rst_n;

3 inputkey;

4 output[7:0]seg_n;//段选信号

5 output[2:0]sel;//位选信号

6

7 wire[3:0]data;

8 wire[23:0]hex;

9

10 //6个数码管上显示同样的数据

11 assignhex[23:0]= {data[3:0],data[3:0],data[3:0],data[3:0],data[3:0],data[3:0]};

12

13 rom rom_inst ( //rom控制模块的例化

14 .key(key),

15 .clk(clk),

16 .rst_n(rst_n),

17 .data(data)

18 );

19

20

21 seg SEG( //数码管模块的裂化

22 .clk(clk),

23 .rst_n(rst_n),

24 .sel(sel),

25 .seg7(seg_n),

26 .data_in(hex)

27 );

28

29

30endmodule

设计模块

0modulerd_rom (key,clk,rst_n,addr);

1

2 inputclk;//输入系统时钟

3 inputrst_n;//输入系统复位

4 inputkey;//按键控制

5

6 outputreg[3:0]addr;

7 reg[31:0]cnt;

8

9 always@(posedgeclk ornegedgerst_n)

10 begin

11 if(!rst_n)//异步复位

12 addr <=0;

13 elseif(addr <15&&key ==0&&cnt ==50000000/2-1)

14 addr <=addr +1'b1;//地址加1

15 else

16 addr <=addr;

17 end

18

19 always@(posedgeclk ornegedgerst_n)

20 begin

21 if(!rst_n)

22 begin

23 cnt<=0;

24 end

25 else

26 begin

27 if(cnt <50000000/2-1)// 50000000/10000/2 1hz的一 28 cnt <=cnt +1;

29 else

30 cnt <=0;

31 end

32 end

33endmodule

测试模块

0`timescale1ns/1ps

1

2modulerom_seg_tb;

3

4 regclk;

5 regrst_n;

6 regkey;

7

8 wire[2:0]sel;

9 wire[7:0]seg_n;

10

11 initialbegin

12 clk =0;

13 rst_n =0;

14 key =1;

15

16 #200.1rst_n=1;

17 #200

18 forever

19 begin

20 #50key =1; //模拟按键

21 #50key =0;

22 end

23 end

24

25 always#10clk =~clk;

26

27 rom_seg rom_seg_dut( //例化顶层模块

28 .clk(clk),

29 .rst_n(rst_n),

30 .key(key),

31 .sel(sel),

32 .seg_n(seg_n)

33 );

34

35endmodule

仿真:

image.png

在设计我们可以看到我们读出了第一个数,然后下面的数我们可以调整我们的计数单元来通过显示。

数码管模块我们可以用我们以前的 或者下面的:

0moduleseg(clk,rst_n,sel,seg7,data_in);//端口定义

1

2 inputclk;

3 inputrst_n;

4 input[23:0]data_in;//输入6个灯的数据

5

6 outputreg[2:0]sel;

7 outputreg[7:0]seg7;

8

9 parameters0 =3'b000;

10 parameters1 =3'b001;

11 parameters2 =3'b010;

12 parameters3 =3'b011;

13 parameters4 =3'b100;

14 parameters5 =3'b101;

15

16 //`define T1ms 50_000 //定义1k的计数值

17 `defineT1ms 5

18 reg[15:0]count;

19 wireflag;

20 always@(posedgeclk ornegedgerst_n)

21 if(!rst_n)

22 begin

23 count <=15'b0;

24 end

25 else

26 if(count ==`T1ms-1)//计数到1MS

27 begin

28 count <=15'b0;

29 end

30 else

31 begin

32 count <=count +1'b1;

33 end

34

35 assignflag =(count ==`T1ms-1)?1'b1:1'b0;//标志位赋值

36

37 reg[2:0]state;

38 reg[3:0]num;

39 always@(posedgeclk ornegedgerst_n)

40 if(!rst_n)

41 begin

42 sel <=3'b0;

43 state <=3'b0;

44 num <=4'b0;

45 end

46 else

47 begin

48 case(state)

49 s0:begin

50 if(flag)

51 state <=s1;//亮第一个灯,给24位数据的 4

52 else

53 begin

54 sel <=3'b000;

55 num <=data_in[23:20];

56 end

57 end

58 s1:begin

59 if(flag) ////亮第2个灯,给24位数据 4

60 state <=s2;

61 else

62 begin

63 sel <=3'b001;

64 num <=data_in[19:16];

65 end

66 end

67 s2:begin

68 if(flag) //亮第3个灯,给24位数据的 4

69 state <=s3;

70 else

71 begin

72 sel <=3'b010;

73 num <=data_in[15:12];

74 end

75 end

76 s3:begin

77 if(flag) //亮第4个灯,给24位数据的4

78 state <=s4;

79 else

80 begin

81 sel <=3'b011;

82 num <=data_in[11:8];

83 end

84 end

85 s4:begin

86 if(flag) //亮第5个灯,给24位数据的4

87 state <=s5;

88 else

89 begin

90 sel <=3'b100;

91 num <=data_in[7:4];

92 end

93 end

94 s5:begin

95 if(flag) //亮第6个灯,给24位数据的4

96 state <=s0;

97 else

98 begin

99 sel <=3'b101;

100 num <=data_in[3:0];

101 end

102 end

103 default:state <=s0;

104 endcase

105 end

106

107 always@(*) //数码管的译码模块

108 begin

109 case(num)

110 0:seg7 =8'b1100_0000;

111 1:seg7 =8'b1111_1001;

112 2:seg7 =8'b1010_0100;

113 3:seg7 =8'b1011_0000;

114 4:seg7 =8'b1001_1001;

115 5:seg7 =8'b1001_0010;

116 6:seg7 =8'b1000_0010;

117 7:seg7 =8'b1111_1000;

118 8:seg7 =8'b1000_0000;

119 9:seg7 =8'b1001_0000;

120 10:seg7 =8'b1000_1000;

121 11:seg7 =8'b1000_0011;

122 12:seg7 =8'b1100_0110;

123 13:seg7 =8'b1010_0001;

124 14:seg7 =8'b1000_0110;

125 15:seg7 =8'b1000_1110;

126 default:;

127 endcase

128 end

129endmodule


声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1602

    文章

    21320

    浏览量

    593155
  • 数码管
    +关注

    关注

    31

    文章

    1827

    浏览量

    89946
收藏 人收藏

    评论

    相关推荐

    【基于Lattice MXO2的小脚丫FPGA核心板】03数码管显示控制

    的信号都连接到FPGA的管脚,作为输出信号控制。FPGA只要输出这些信号就能够控制数码管的那一段LED亮或者灭。这样我们可以通过开关来控制FPGA的输出。通过组合逻辑的输出来控制
    发表于 02-29 09:01

    【每周一练】盘古1K开发板 练习三:动态数码管显示

    ,按一下数字加 1,显示从 0 9, 类似的,按键 K3 控制第三个数码管,按键 K4 控制第四个数码管 学习心得
    发表于 12-10 16:45

    【每周一练】盘古1K开发板 练习三:动态数码管显示实验

    实验目的:掌握数码管显示原理,实现数码管动态显示 实验要求:实现四个数码管可以显示不同的数字,按
    发表于 11-24 11:21

    动态数码管显示原理详解

    动态显示的特点是将所有数码管的段选线并联在一起,由位选线控制是哪一位数码管有效。选亮数码管采用动态扫描显示。所谓动态扫描
    的头像 发表于 11-10 11:38 2565次阅读
    动态<b class='flag-5'>数码管</b><b class='flag-5'>显示</b>原理详解

    BST-M51单片机数码管的基础学习

    BST-M51单片机数码管的基础学习 数码管 静态数码管 NPN三极,要使3,1连通,则需要给2通高电平,才能使得接通,高电平点亮是共阴
    发表于 11-05 23:38

    LED数码管学习笔记

    1.阐述共阴极/共阳极数码管内部结构: 2.设计共阴极/共阳极数码管的驱动电路: 3.阐述数码管显示器的字符/段选/位选之间的关系: 1)字符的对应关系: 2)位选 : 选wei1,w
    发表于 11-05 18:37

    单片机-数码管驱动学习笔记

    ) 按段数分为七段数码管和八段数码管,八段数码管比七段数码管多一个发光二极单元(多一个小数点显示
    发表于 11-04 23:53

    数码管显示原理及其实现方式

    在数字FPGA电路中,作为入门级别的外设除LED灯外,数码管算是使用频率最多、应用范围最广的一个核心集成外设了,因此学习数码管的使用非常有必要,下面一起来看看
    的头像 发表于 10-01 09:53 3373次阅读
    <b class='flag-5'>数码管</b>的<b class='flag-5'>显示</b>原理及其实现方式

    请问数码管显示接线的显示码是什么?

    如题,求教数码管显示接线的显示码是什么?
    发表于 09-28 08:31

    紫光同创FPGA入门指导:数码管静态显示——紫光盘古系列22K开发套件实验教程(三)

    、项目开发,一板多用,满足多方位的开发需求。 二:实验目的 四位八段数码管同时循环显示数字 0~9。 三:实验原理 数码管是一种半导体发光器件,其基本单元是发光二极。能
    发表于 08-23 18:02

    基于FPGA数码管静态显示

    设计一个8位数码管静态显示:采用共阳极(低电平点亮)8段数码管,控制八位数码管让其以00000000、11111111、22222222一直到FFFFFFFF循环
    的头像 发表于 07-28 10:03 1732次阅读
    基于<b class='flag-5'>FPGA</b>的<b class='flag-5'>数码管</b>静态<b class='flag-5'>显示</b>

    从零开始学习紫光同创FPGA——PGL22G开发板之数码管动态显示(五)

    ”了。但有一个问题,多长时间切换显示数码管呢,时间如果太长就只能看到数字“8”或数字“1”了,时间太短呢,结果是显示不清晰而且显示亮度不够。由于人眼的视觉暂留(人眼在观察景物时,光信
    发表于 06-19 16:08

    从零开始学习紫光同创FPGA——PGL22G开发板之数码管静态显示(四)

    一、数码管静态显示 1、实验目的 了解数码管的类型和工作原理。 2、实验要求 实现四位八段数码管同时循环显示数字0~9,数字每隔1s自加1。
    发表于 06-15 18:48

    学习学习点亮数码管

    你好!最近想学习学习点亮多段位数码管,谢谢版主
    发表于 06-03 21:14

    如何读取数码管显示的字母?

    请问各位大佬,我有一个电路板,具有1个80C51的单片机,然后电路板上有6个LED数码管,我想读出其中1个数码管显示的字母,有什么好的方法?
    发表于 05-04 13:33