0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

8421bcd码转换二进制

PoisonApple 来源:网络整理 2018-03-02 09:20 次阅读

8421BCD码

算机内毫无例外地都使用二进制数进行运算,但通常采用8进制和十六进制的形式读写。对于计算机技术专业人员,要理解这些数的含义是没问题,但对非专业人员却不那么容易的。由于日常生活中,人们最熟悉的数制是十进制,因此专门规定了一种二进制的十进制码,称为BCD码,它是一种以二进制表示的十进制数码

二进制

二进制是计算技术中广泛采用的一种数制。二进制数据是用0和1两个数码来表示的数。它的基数为2,进位规则是“逢二进一”,借位规则是“借一当二”,由18世纪德国数理哲学大师莱布尼兹发现。当前的计算机系统使用的基本上是二进制系统,数据在计算机中主要是以补码的形式存储的。计算机中的二进制则是一个非常微小的开关,用“开”来表示1,“关”来表示0。

20世纪被称作第三次科技革命的重要标志之一的计算机的发明与应用,因为数字计算机只能识别和处理由‘0’。‘1’符号串组成的代码。其运算模式正是二进制。19世纪爱尔兰逻辑学家乔治布尔对逻辑命题的思考过程转化为对符号“0‘’。‘’1‘’的某种代数演算,二进制是逢2进位的进位制。0、1是基本算符。因为它只使用0、1两个数字符号,非常简单方便,易于用电子方式实现。

8421bcd码转换二进制

用MSI器件设计。 设两位8421BCD码为D7D6D5D4D3D2D1D0转换后的B码为B685B4B3B2B1B0则

上式中加横线部分都可以用一个MSI加法器实现S为加法器的输出S的下标代表模块序号和输出高低位号。

逻辑图如图5.2.1所示。

[解法2]

VHDL设计。

根据上述解题方法在VHDL程序的结构体设计中可采用结构描述的方法其VHDL主程序如下:

LIBRARY ieee;

USE ieee.Std_logic_1164.ALL; USE work.components.ALL;

ENTITY xiti50 1 IS PORT(d:IN Std_logic_vector(7 downto 0);

b:OUT Std_logic_vector(6 downto 0));

END xiti501;

ARCHITECTURE xiti501_ar OF xitiS01 IS SIGNAL m:Std_logic_vector(3 downto 0);

SIGNAL n1n2:Std_logic;

COMPONENT v74x283 PORT(ab:IN std_logic_vector(3 downto 0);

ci:IN std_logic;

s:out std_logic_vector(3 downto 0);

co:OUT std_logic);

END COMPONENT;

BEGIN u1:v74x283 PORT MAP(‘0’&d(3 downto 1)d(7 downto 4)‘0’mn1);

u2:v74x283 PORT MAP(“00”&m(3 downto 2)d(7 downto 4)‘0’b(6 downto 3)n2);

b(0)《=d(0);

b(2 downto 1)《=m(1 downto 0);

END xiti501_ar;

[解法1]

用MSI器件设计。

设两位8421BCD码为D7D6D5D4D3D2D1D0,转换后的B码为B685B4B3B2B1B0,则上式中加横线部分都可以用一个MSI加法器实现,S为加法器的输出,S的下标代表模块序号和输出高低位号。逻辑图如图5.2.1所示。[解法2]VHDL设计。根据上述解题方法,在VHDL程序的结构体设计中,可采用结构描述的方法,其VHDL主程序如下:

LIBRARYieee;

USEieee.Std_logic_1164.ALL;

USEwork.components.ALL;

ENTITYxiti501ISPORT(d:INStd_logic_vector(7downto0);

b:OUTStd_logic_vector(6downto0));

ENDxiti501;

ARCHITECTURExiti501_arOFxitiS01ISSIGNALm:Std_logic_vector(3downto0);

SIGNALn1,n2:Std_logic;

COMPONENTv74x283PORT(a,b:INstd_logic_vector(3downto0);

ci:INstd_logic;

s:outstd_logic_vector(3downto0);

co:OUTstd_logic);

ENDCOMPONENT;

BEGINu1:v74x283PORTMAP(‘0’&d(3downto1),d(7downto4),‘0’,m,n1);

u2:v74x283PORTMAP(“00”&m(3downto2),d(7downto4),‘0’,b(6downto3),n2);

b(0)《=d(0);b(2downto1)《=m(1downto0);

ENDxiti501_ar;

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 二进制
    +关注

    关注

    2

    文章

    704

    浏览量

    41245
  • BCD码
    +关注

    关注

    1

    文章

    52

    浏览量

    18125
  • 8421码
    +关注

    关注

    1

    文章

    8

    浏览量

    3958
收藏 人收藏

    评论

    相关推荐

    [原创]16位二进制转换BCD

    16位二进制转换BCD[此贴子已经被作者于2009-11-24 14:29:44编辑过]
    发表于 11-24 14:25

    常用编码(BCD编码、余3、格雷反射、奇偶校验)

    使用8421BCD时一定要注意其有效的编码仅十个,即:0000~1001。四位二进制数的其余六个编码1010,1011,1100,1101,1110,1111不是有效编码。 2、余3
    发表于 04-11 10:00

    什么是BCD8421、余三、格雷

    中的“8421”表示从高到低各位二进制位对应的权值分别为8、4、2、1,将各二进制位与权值相乘,并将乘积相加就得相应的十进制数。例如,
    发表于 11-03 17:36

    用FPGA设计将BCD转换二进制数电路

    用FPGA设计将BCD转换二进制数电路
    发表于 03-11 20:37

    如何用VHDL实现16位二进制数转化为相应的BCD

    downto 0));End;Architecture one of B_BCD isBeginProcess(B)begin(16位的二进制码)转化为(相应的BCD);比如说12
    发表于 04-10 09:46

    关于两位十进制转换二进制数的仿真 求助啊

    求助,不怎么懂这题该怎么做。求教。用两片四位全加器74283和必要的逻辑门设计一个数制转换电路,实现将输入的两位十进制转换二进制数,十进制
    发表于 07-01 20:13

    【小梅哥FPGA进阶教程】第二进制BCD

    Decimal)也称二进十进数或-十进制代码。用4位二进制数来表示1位十进制数中的0~9这
    发表于 01-09 14:38

    【梦翼师兄今日推荐】BCD二进制程序设计讲解

    BCD的方法。那么现在我们就反过来思考一下,设计一个什么样的电路,才可以将BCD转换二进制
    发表于 12-03 21:48

    16位二进制BCD

    本帖最后由 efans_c575a5 于 2022-4-23 22:51 编辑 16位二进制BCD,希望对大家有用。
    发表于 12-12 15:18

    8421BCD二进制原码的相互转换

    8421BCD二进制原码的相互转换。九层妖塔 起于垒土【蓝桥杯】—{模板Template}—{Part7:DS18B20温度传感器}一、基本模板1、`头文件`● 改编自国信长天蓝桥
    发表于 01-17 07:13

    在FPGA中实现一种二进制BCD的电路设计

    字逻辑设计课程中,我们已经学过了BCD的相关知识,它用4位二进制数来表示1位十进制数中的09,是二进制编码的十
    发表于 07-12 16:41

    请问bcd二进制有什么关系?

    这个bcd二进制有什么关系? bcd 表示0011001是19(十进制) 二进制表示0011
    发表于 10-07 06:02

    余3码至8421BCD码的转换_8421BCD转换成余3码

    二进制编码的十进制数,简称BCD码。这种方法是用4位二进制码的组合代表十进制数的0,1,2,3,4,5,6 ,7,8,9 十个数符。由
    的头像 发表于 03-02 09:38 18.5w次阅读
    余3码至<b class='flag-5'>8421BCD</b>码的<b class='flag-5'>转换</b>_<b class='flag-5'>8421BCD</b>码<b class='flag-5'>转换</b>成余3码

    8421BCD转换成5421BCD

    5421BCD码,是二—十进制代码(BCD码)的一种;二—十进制代码(BCD码)的一种;5421BCD
    的头像 发表于 03-02 13:48 7.6w次阅读
    <b class='flag-5'>8421BCD</b>码<b class='flag-5'>转换</b>成5421<b class='flag-5'>BCD</b>码

    如何利用二进制数实现BCD码的转换

    编写子程序Bin2BCDBCD2Bin实现二进制数到压缩BCD码的相互转换。待转换
    发表于 05-19 10:59 2.7w次阅读
    如何利用<b class='flag-5'>二进制</b>数实现<b class='flag-5'>BCD</b>码的<b class='flag-5'>转换</b>