0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

基于2018年的存储市场5大关键词的解析

存储界 2018-01-05 17:23 次阅读

年末将至,各大行业市场逐步进行盘点期。对于企业级存储行业来说,2017年是充满神奇色彩的一年,也是丰收的一年。这一年,业内知名企业东芝出售旗下芯片业务、西部数据收购初创公司Tegile、Dell EMC大力投入全闪存存储、HPE收购超融合厂商SimpliVity、NetApp推出基于SolidFire的超融合系统……一系统事件着实让存储圈热门一番。

展望2018年,企业产生的数据趋势并没有放缓迹象,大数据、物联网和分析市场的崛起持续促使数据继续增长,而这一激增也推动了其底层基础设施的发展。

事实上,数据存储技术的快速增长也是IT基础设施变革的最大因素,根据Interop ITX和InformationWeek 2018基础设施的研究证明,有50%的受访者认为如今企业对数据存储的需求已经远远超过了整合云服务的需求。

ESG高级分析师Scott Sinclair在接受采访时表示,目前数据已接近临界点,随着我们进一步步入数据经济,在未来一年中,企业为了实现数据战略目标,将在数据中心投资更多存储硬件,在云中存储更多数据,并继续研究新兴存储技术。而在2018年,有五大热门关键词将继续领跑企业级存储市场。

全闪存

近几年,存储介质突飞猛进,高速、容量、性能也在快速提升,全闪存作为最热门的存储介质之一,在数据爆炸增长的今天变得尤为重要,同时也为存储架构和存储协议的发展带来了全新变革。

其实全闪存也并不是什么新出现的技术,业内戴尔EMC、IBM、NetApp、华为等厂商一直在做,只是之前因为成本的原因限制了全闪存的普及。随着全闪存技术的不断提升和价格的逐渐走低,这个市场有望进入快速增长期。据悉,在未来一年中,各大厂商会继续发力全闪存市场,在性能、IOPS等技术上继续优化,为用户提供更强大、更简单的解决方案,以加速数字化转型。

超融合

超融合(Hyper Converge Infrastructure,HCI)是近几来IT界广受关注的话题之一,也成为众多厂商主要发力的方向,市场竞争尤为激烈。据Interop ITX的研究证明,有65%的受访者表示他们正在使用、计划使用或寻求超融合基础设施,而20%表示他们并不感兴趣。

纵观如今的超融合市场,最早推广这个概念的是Nutanix,起步的核心技术是分布式存储,只是在部署架构上融入了创新元素,采用融合的形式,这种形式也进一步推动了分布式存储的市场落地。目前中国的超融合市场玩家众多,除了戴尔、EMC、华为、联想、Nutanix这些一线国际大厂之外,还有浪潮、曙光以及众多初创企业。不过,市场目前还是属于初期阶段,竞争还是比较激烈的。

软件定义存储

随着软件定义数据中心、软件定义网络、软件定义存储纷纷推出市场,"软件定义"技术已全面爆发。据IDC预测,2017年至2021年,全球软件定义存储市场年复合增长率将达到13.5%,2021年营收达到约162亿美元。

软件定义存储,顾名思义主要是通过借助软件实现虚拟化,配置和重复数据删除等功能,并通过自动化对存储网络加速,其主要意义是实现用户可扩展性和灵活性。

Hadoop/Spark

自大数据兴起以来,更强的计算机及更成熟的大数据平台工具使企业掌握挖掘数据价值的技巧。目前国内已经广泛应用于制造、金融、电信、医疗以及能源领域,这些领域每天都有数以亿计的数据产生,对于大数据资产的存储、挖掘、分析等流程都有一定的要求。

Hadoop是由Doug Cutting于2005年发起的项目,采用开源软件框架对超大数据集进行分布式存储技术及分布式处理。换句话说,设计这一产品的目的在于缩减处理大数据集的时间及成本。Spark是近年来新兴的一个分布式大数据处理引擎,采用了类Hadoop MapReduce的通用的并行计算框架,而不同于MapReduce的是输出和结果可以保存在内存中,性能更好,因此能更好地适用于数据挖掘与机器学习等应用,一度被认为有望取代Hadoop。其实,这两者协作要大于竞争。

云存储

随着信息技术的飞速发展,云技术日趋成熟,通过云技术将信息资源进行存储共享被越来越多现代企业广泛应用,包括备份和恢复、归档等。

追其根源,其实是Dropbox公司首席执行官Drew Houston在2007年在忘记带USB存储设备且不知道放在哪里的时候,当时萌生了创建个人和小型企业云存储的想法,而如今,几乎每个人都寻求到了属于自己的云存储产品。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 闪存
    +关注

    关注

    16

    文章

    1688

    浏览量

    114224
  • 云存储
    +关注

    关注

    7

    文章

    714

    浏览量

    45827
  • Hadoop
    +关注

    关注

    1

    文章

    90

    浏览量

    15825
  • 软件定义存储

    关注

    0

    文章

    26

    浏览量

    5337

原文标题:2018年,五大热门关键词领跑存储市场

文章出处:【微信号:cunchujie,微信公众号:存储界】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    2023年存储芯片行业十大关键词

    (电子发烧友网 文/黄晶晶)过去的一年,存储市场跌宕起伏,从低潮逐渐回暖,新兴应用市场存储的拉动丝毫不减,服务器、汽车存储马力十足,带动
    的头像 发表于 01-06 01:08 1591次阅读

    中科曙光算力服务年度盘点 四大关键词

    总结为以下四个关键词。 聚焦 —  曙光算力服务紧跟市场趋势,积极参与信通院新一代算力网技术创新联盟、首批可信算力云服务-智能平台和“算力星图”计划。通过深度参与行业标准和技术创新,曙光智算成功通过首批“可信算力服务-智
    的头像 发表于 01-04 10:34 284次阅读

    测测这10个AI关键词你清楚几个?第4个今年最火

    原文标题:测测这10个AI关键词你清楚几个?第4个今年最火 文章出处:【微信公众号:微软科技】欢迎添加关注!文章转载请注明出处。
    的头像 发表于 12-21 08:15 268次阅读
    测测这10个AI<b class='flag-5'>关键词</b>你清楚几个?第4个今年最火

    #2023,你的 FPGA 年度关键词是什么? # PWM模块更新

    之前的因为一些问题发的code有点问题,这次把更新之后code发了出来,虽然也不是很完善但是初步还是可以用的; 对应的code如下: `timescale 1ns / 1ps modulecreat_PWM ( inputwireclk,//系统时钟为100MHz inputwirerst,//系统复位 inputwirekey_flag1,//占空比上调 inputwirekey_flag2,//占空比下调 inputwirekey_flag3,//频率上调 inputwirekey_flag4,//频率下调 output regPWM ); //PWM波形频率选择 reg [1:0] Frequency_seting; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) Frequency_seting <= 2\'b00; else if( (Frequency_seting == 2\'b11) && (key_flag3==1\'b1) ) Frequency_seting <= 2\'b00; else if( (Frequency_seting == 2\'b00) && (key_flag4==1\'b1) ) Frequency_seting <= 2\'b11; else if( key_flag3==1\'b1 ) Frequency_seting <= Frequency_seting + 1\'b1; else if( key_flag4==1\'b1 ) Frequency_seting <= Frequency_seting - 1\'b1; else Frequency_seting <= Frequency_seting; //PWM波形的频率设定 reg [23:0] Frequency_CNT_MAX; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) Frequency_CNT_MAX <= 24\'d9_999; else case( Frequency_seting ) 2\'b00 : Frequency_CNT_MAX <= 24\'d9_999; 2\'b01 : Frequency_CNT_MAX <= 24\'d99_999; 2\'b10 : Frequency_CNT_MAX <= 24\'d999_999; 2\'b11 : Frequency_CNT_MAX <= 24\'d9_999_999; default : Frequency_CNT_MAX <= 24\'d9_999; endcase //PWM频率生成计数器模块 reg [23:0] counter; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) counter <= 0; else if( counter == Frequency_CNT_MAX) counter <= 0; else counter <= counter + 1\'b1; //占空比调节模块,步进为10% reg [23:0] duty_counter; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) duty_counter <= Frequency_CNT_MAX/2; else if( key_flag1 == 1\'b1 ) duty_counter <= duty_counter + (Frequency_CNT_MAX/10); else if( key_flag2 == 1\'b1 ) duty_counter <= duty_counter - (Frequency_CNT_MAX/10); else duty_counter <= duty_counter; //生成PWM always @(posedge clk or negedge rst) if( rst == 1\'b0 ) PWM <= 1\'b0; else if( duty_counter <= counter ) PWM <= 1\'b1; else PWM <= 1\'b0; endmodule 对应的测试用的testbench如下: `timescale 1ns/1ns module tb_creat_PWM(); //****************** Parameter and Internal Signal *******************// //wire define wirePWM; //reg define reg clk; reg rst; reg key_flag1; reg key_flag2; reg key_flag3; reg key_flag4; //***************************** Main Code ****************************// initial begin clk = 1\'b1; rst <= 1\'b0; key_flag1 <= 1\'b0; key_flag2 <= 1\'b0; key_flag3 <= 1\'b0; key_flag4 <= 1\'b0; #201; rst <= 1\'b1; #200; key_flag1 <= 1\'b1; #100; key_flag1 <= 1\'b0; #20000000; key_flag1 <= 1\'b1; #100; key_flag1 <= 1\'b0; #20000000; #20000000; $stop; end // creator clk always #10 clk <= ~clk; //*************************** Instantiation **************************// creat_PWMcreat_PWM_inst ( .clk ( clk), .rst ( rst), .key_flag1 ( key_flag1 ), .key_flag2 ( key_flag2 ), .key_flag3 ( key_flag3 ), .key_flag4 ( key_flag4 ), .PWM ( PWM) ); endmodule 对应的原始code中的参数如果修改一下是可以大幅缩短仿真时间,但是一时没有想起对应的修改模块内部变量的方法,后面找到后再进行补充。 写的还是感觉比较差劲,只能说说慢慢进步吧,自己也是自学不久。
    发表于 12-12 10:47

    #2023,你的 FPGA 年度关键词是什么? # PWM模块基础设计

    由于今天连续多次无法发布该文章,心态真的是崩了,由于基础的PWM比较简单,此次先给大家展示个半成品,完整状态对应的PWM频率、占空比均可调节,对应的模块结构图如下: 对应的基本code如下: modulecreat_PWM ( inputwireclk, //系统时钟为50MHz inputwirerst, inputwirekey_flag1, inputwirekey_flag2, output regPWM ); parameter Frequency_CNT_MAX = 16\'d49_999; //输出PWM为1KHz,1ms=5000*20ns //PWM频率生成计数器模块 reg [15:0] couter; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) couter <= 0; else if( couter == Frequency_CNT_MAX ) couter <= 0; else couter <= couter + 1\'b1; //占空比调节模块 reg [15:0] duty_counter; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) duty_counter <= 16\'d24_999; else if( key_flag1 == 1\'b1 ) duty_counter <= duty_counter + 16\'d49; else if( key_flag2 == 1\'b1 ) duty_counter <= duty_counter - 16\'d49; else duty_counter <= duty_counter; //生成PWM always @(posedge clk or negedge rst) if( rst == 1\'b0 ) PWM <= 1\'b0; else if( duty_counter <= Frequency_CNT_MAX ) PWM <= 1\'b1; else PWM <= 1\'b0; endmodule 由于是第一次在电子发烧友上发文章,体验感觉真的不太友好,希望能够把文章的自动保存功能给加上,否则没有备份真的让人不开心
    发表于 12-06 21:56

    #2023,你的 FPGA 年度关键词是什么? #

    FPGA 年度关键词,我的想法是“标准化”;今年的工作中遇到了不少同事的issues,本身都是小问题或者很细节的东西但是却反复出现问题,目前想到的最好的办法是做好设计规则的标准化才能避免,不知道大家有没有更好的建议?
    发表于 12-06 20:31

    AT32上实现关键词语音识别(KWS)

    AT32上实现关键词语音识别(KWS)本文基于此开源模型和代码,在AT32 MCU 上对KWS 效果进行展示。
    发表于 10-26 07:45

    关键词分析(2)#Python数据分析

    编程python
    未来加油dz
    发布于 :2023年09月02日 18:29:02

    中国信通院发布“2023云计算十大关键词

    来源:中国信通院7月25日,由中国信息通信研究院、中国通信标准化协会主办的“2023可信云大会”在京召开。中国信息通信研究院云计算与大数据研究所所长何宝宏在会上正式发布“2023云计算十大关键词
    的头像 发表于 07-31 23:44 460次阅读
    中国信通院发布“2023云计算十<b class='flag-5'>大关键词</b>”

    中国信通院发布“2023云计算十大关键词

    来源:中国信通院 7月25日,由中国信息通信研究院、中国通信标准化协会主办的“2023 可信云大会”在京召开。中国信息通信研究院云计算与大数据研究所所长何宝宏在会上正式发布“2023云计算十大关键词
    的头像 发表于 07-27 10:35 564次阅读
    中国信通院发布“2023云计算十<b class='flag-5'>大关键词</b>”

    . 基于关键词的内容可视化#大数据

    大数据
    未来加油dz
    发布于 :2023年07月18日 13:49:10

    TinyML变得简单:关键词识别(KWS)

    电子发烧友网站提供《TinyML变得简单:关键词识别(KWS).zip》资料免费下载
    发表于 07-13 10:20 2次下载
    TinyML变得简单:<b class='flag-5'>关键词</b>识别(KWS)

    2023大数据十大关键词

    随着移动互联网飞速发展,为满足多种数据类型存储、多场景分析等业务诉求,部分企业采用在一个数据平台之上混合部署数据湖和数据仓库,通过ETL进行数据交换的业务架构。这一湖+仓混合架构存在存储成本高、时效性差、易出现一致性问题、开发运维难度高四大问题。
    的头像 发表于 06-28 15:37 563次阅读
    2023大数据十<b class='flag-5'>大关键词</b>

    AT组件怎么解析无前缀关键字的数据?

    一开始想利用解析函数,at_resp_get_line,at_resp_parse_line_args等等去读取,单都需要关键字前缀匹配才行,且一次只能读一行。而我的数据是在下一行,且无前缀,所以我不知道要怎么读取这个data出来。 望各位大佬帮忙指点!感谢! 图如下:
    发表于 05-12 16:44

    用五大关键词解读23家连接器企业年报

    2022各企在复杂困顿的环境之下,持续在连接器行业中坚守与创新,不断提升国产通信连接器、车载连接器、光储连接器市占率。本文将以五大关键词来简要概述上市连接器企业2022年的业绩情况。 *注:本文
    的头像 发表于 05-08 15:57 318次阅读
    用五<b class='flag-5'>大关键词</b>解读23家连接器企业年报