0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

英特尔接手高通代工,2025年赶超台积电和三星

E4Life 来源:电子发烧友原创 作者:Leland 2021-07-28 09:44 次阅读
在7月27日凌晨举办的Intel Accelerated活动中,英特尔放出了几个重磅消息,未来制程节点的全面改名,后续先进制程的技术推进和时间节点,以及全新的封装技术和代工客户。

新的命名:10nm变Intel 7

过去的报道中,我们已经多次提到了英特尔在10nm和7nm制程上,英特尔在晶体管密度上其实是领先同节点名的台积电和三星的。英特尔也深知这一点,过去的节点命名方式让他们在营销上吃了大亏,7nm开发进度被延后也就加剧了这一问题,于是英特尔决定改变这一现状。

英特尔今年年末会在Alder Lake 12代酷睿CPU上用到10nm Enhanced SuperFin(10ESF),而如今他们已经将10ESF制程改名为Intel 7制程,而过去提及的7nm将改名为Intel 4制程,很明显英特尔想以这样的方式来对标台积电和三星同命名节点的晶体管密度。

与10nm SuperFin制程相比,Intel 7制程可以做到10至15%的性能/功耗增益,并引入了对FinFET晶体管的进一步优化。英特尔称其10nm目前已经进入了全面量产状态,超过了14nm的产量。而Intel 7现在也已进入量产状态,为今明两年的产品做好准备,比如客户机CPU Alder Lake和数据中心CPU Sapphire Rapids。

Intel 4则提供了20%的性能/功耗提升,在这个节点上,英特尔将全面利用EUV光刻机。使用这一节点的Meteor Lake CPU也已在今年第二季度完成了Tape In,据了解,该制程也会用于未来Granite Rapids数据中心CPU的生产。通过对IMS的收购,英特尔也会将其多束电子束Mask Writer应用于EUV光刻机中。根据英特尔公布的合作伙伴,Applied Materials、Lam Research和Tel Tokyo Electron这些顶级半导体设备供应商也会提供对应的方案。

后续制程:Intel 3和20A

此次发布会上,英特尔也宣布了后续的两大制程Intel 3和20A。其中Intel 3将在功率和面积上进行进一步改进,为客户提供18%的性能/功耗提升。Intel 3将拥有更密集的HP库,以及更高内在驱动电流,并减少了通孔电阻。Intel 3还会继续加大EUV光刻机的使用,英特尔预计在2023年下半年开始投入该制程节点的生产。

PowerVia技术与试产晶圆 / Intel

20A则是英特尔用来追赶台积电和三星的最后一个冲刺区。英特尔称它象征着半导体从纳米时代进入埃米时代。英特尔给该节点定下的时间点为2024年上半年,不过具体的量产时间还不好说。英特尔会在该节点中应用全新的RibbonFET晶体管架构和PowerVia互联技术。

三星将在3nm上推出GAA(全环绕栅极)技术,而、台积电则计划在2nm上才使用GAA技术,而RibbonFET正是英特尔自己的GAA解决方案。全新的GAA晶体管架构运用了纳米带技术,进一步提升了电气性能,加快晶体管开关速度,减少占用空间。

除此之外,在这20A这一节点上,英特尔的代工业务也将迎来一个新的客户——高通

接下高通和亚马逊大单,制程封装两开花

高通在芯片代工上已经尝试了台积电和三星这样的合作伙伴,如今又将多出一个英特尔。不过高通预定的并不是近两年的Intel 4和Intel 3制程,而是最先进的Intel 20A,这意味着我们可能需要在2024年才能看到英特尔代工的高通手机芯片。这样的选择其实也很合理,毕竟今年是英特尔开展代工的第一年,不少人都还在观望英特尔的代工表现。而且高通一向会选择最先进的制程工艺,只有当Intel 20A可以与台积电与三星比肩时,才会列入可选项。

另一大宣布合作的客户则是亚马逊,不过这次合作可不是为其AWS提供CPU的,而是承接其芯片封装。亚马逊造芯早就不是什么秘密了,2015年亚马逊就收购了一家以色列芯片制造公司Annapurna。为了给自己的AWS提供更具竞争力的基础架构,自研芯片是必不可少的一环。亚马逊此次合作,就是为了数据中心芯片的半导体封装。那么英特尔的封装究竟有何优势,值得亚马逊的垂青呢?

封装全面进化:下一代EMIB和Foveros

EMIB和Foveros作为英特尔的封装王牌技术,在IDM 2.0的运营模式下,也会对客户开放。Intel Accelerated上,英特尔揭开了下一代EMIB和Foveros技术的真面目。

EMIB为英特尔2.5D嵌入式多芯片互联桥接方案,在EMIB的帮助下,芯片可以做到与寻常封装相比2倍的带宽密度和4倍的功率效率。更重要的是,其凸点间距可以做到55微米。而英特尔的下一代EMIB进一步减小了凸点间距,将其逐渐降至40微米。

除了EMIB之外,Foveros 3D堆叠技术同样可以进一步减小凸点间距。结合了两种技术后,凸点间距可以降低至36微米。英特尔正式公布了下一代Foveros技术Foveros Omni和Foveros Direct。

Foveros Omni引入了裸片分解互联和模组化的设计,为芯片设计提供更高的灵活性。该技术将硅通孔(TSV)的性能惩罚最小化,并优化了功耗和IO,为互联提供更高的带宽。运用Foveros Omni技术后,凸点间距可以降低至25微米。Foveros Direct更是实现了铜到铜的直接键合,为互联提供更低的阻值,凸点间距降低至10微米以下。

展望2025年之后

对于2025年之后的计划,英特尔只用了三句话来描述:堆叠式GAA,下一代背部供电系统和先进光学封装。在这些技术上,英特尔会和法国CEA-Leti实验室、比利时微电子研究中心IMEC和IBM紧密合作。英特尔也会在今年10月27日和28日举办的Intel Innovation活动中进一步详解其技术创新。
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 高通
    +关注

    关注

    76

    文章

    7162

    浏览量

    187868
  • 台积电
    +关注

    关注

    43

    文章

    5276

    浏览量

    164795
  • intel
    +关注

    关注

    19

    文章

    3452

    浏览量

    184772
  • 光刻机
    +关注

    关注

    31

    文章

    1121

    浏览量

    46373
收藏 人收藏

    评论

    相关推荐

    英特尔宣布代工亏损 英特尔代工服务将见顶

    对于这一业绩的下滑,英特尔方面给出了明确的解释:晶圆代工业务内部收入的减少,直接影响了其利润潜力。
    的头像 发表于 04-03 16:46 756次阅读

    总裁魏哲家:三星英特尔永远赶不上台

    行业芯事行业资讯
    深圳市浮思特科技有限公司
    发布于 :2024年03月04日 16:46:28

    英特尔:2025全球AIPC将超1亿占比20%

    英特尔行业资讯
    北京中科同志科技股份有限公司
    发布于 :2024年02月29日 09:15:26

    英特尔1nm投产时间曝光!领先于

    英特尔行业芯事
    深圳市浮思特科技有限公司
    发布于 :2024年02月28日 16:28:32

    英特尔首推面向AI时代的系统级代工

    英特尔宣布全新制程技术路线图、客户及生态伙伴合作,以实现2030年成为全球第二大代工厂的目标。 新闻亮点: •英特尔首推面向AI时代的系统级代工——
    的头像 发表于 02-26 15:41 168次阅读
    <b class='flag-5'>英特尔</b>首推面向AI时代的系统级<b class='flag-5'>代工</b>

    英特尔拿下微软芯片代工订单

    英特尔近日在美国圣荷西举行的首次晶圆代工活动中公布了其雄心勃勃的制程延伸蓝图。该公司首席执行官在会上表示,通过采用Intel 18A先进制程技术,英特尔期望在2025年之前重新夺回制程
    的头像 发表于 02-26 10:01 250次阅读

    英特尔首推面向AI时代的系统级代工英特尔代工

    英特尔首推面向AI时代的系统级代工——英特尔代工(Intel Foundry),在技术、韧性和可持续性方面均处于领先地位。
    的头像 发表于 02-25 10:38 256次阅读
    <b class='flag-5'>英特尔</b>首推面向AI时代的系统级<b class='flag-5'>代工</b>—<b class='flag-5'>英特尔</b><b class='flag-5'>代工</b>

    英特尔登顶2023全球半导体榜单之首

    英特尔行业芯事
    深圳市浮思特科技有限公司
    发布于 :2024年02月01日 11:55:16

    英特尔NovaLake采用2纳米

    行业芯事行业资讯
    深圳市浮思特科技有限公司
    发布于 :2024年01月30日 17:04:53

    英特尔酷睿14代处理器系列发布,Arrowlake/LunarLake24问世

    处理器英特尔
    looger123
    发布于 :2024年01月10日 17:44:38

    #通 #英特尔 #Elite 通X Elite芯片或终结苹果、英特尔的芯片王朝

    英特尔苹果
    深圳市浮思特科技有限公司
    发布于 :2023年10月27日 16:46:07

    英特尔媒体加速器参考软件Linux版用户指南

    英特尔媒体加速器参考软件是用于数字标志、交互式白板(IWBs)和亭位使用模型的参考媒体播放器应用软件,它利用固定功能硬件加速来提高媒体流速、改进工作量平衡和资源利用,以及定制的图形处理股(GPU)管道解决方案。该用户指南将介绍和解释如何为Linux* 使用英特尔媒体加速器
    发表于 08-04 06:34

    MLCC龙头涨价;车厂砍单芯片;28nm设备订单全部取消!

    %。西安二厂预计将生产13.5万片,比之前的14.5万片减少了约7%。业界观察人士认为,三星选择砍掉部分NAND产能,因为当前内存市场形势惨淡。 【28nm设备订单全部取消!】
    发表于 05-10 10:54

    芯片行业,何时走出至暗时刻?

    、英伟达、通和英特尔等企业下单也较为保守,产能利用率持续下降。
    发表于 05-06 18:31

    2023最强半导体品牌Top 10!第一名太强大了!

    ,成立于1987,是当时全球的第一家专业积体电路(集成电路/芯片)制造与服务兼硅晶圆片代工的大型跨国企业。 占据了全球芯片
    发表于 04-27 10:09