0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

你们知道delta-sigma电路是怎么工作的吗

FPGA开源工作室 来源:FPGA开源工作室 作者:FPGA开源工作室 2021-06-23 17:57 次阅读

1 前言

多年来,模拟技术一直主导着信号处理,但数字技术正在慢慢侵入这个领域。 Δ-Σ(DS) 模数转换器ADCs)的设计大约是四分之三数字和四分之一模拟。 Δ-Σ ADCs 现在非常适合转换各种频率的模拟信号,从DC到几兆赫兹。 基本上,这些转换器由一个过采样调制器和一个数字/抽取滤波器组成,它们共同产生高分辨率的数据流输出。

2 Δ-Σ转换概述

基本的Δ-Σ转换器是一个1-bit采样系统。 施加到转换器输入端的模拟信号需要相对较慢,以便转换器可以对其进行多次采样,这种技术称为过采样(Oversampling)。 采样率比输出端口的数字结果快数百倍。 每个单独的样本随时间累积,并通过数字/抽取滤波器与其他输入信号样本“平均”。

Δ-Σ转换器的主要内部单元是Δ-Σ调制器和数字/抽取滤波器。 内部Δ-Σ调制器以非常高的速率将输入信号粗采样为 1 位流。 然后数字/抽取滤波器获取此采样数据并将其转换为高分辨率、速度较慢的数字代码。

大多数转换器只有一种采样率,而Δ-Σ转换器有两种采样率——输入采样率 (fS) 和输出数据率(fD)。

3 Δ-Σ调制器

Δ-Σ调制器是Δ-ΣADCs的核心。 它负责将模拟输入信号数字化并降低较低频率的噪声。 在这个阶段,该架构实现了一种称为噪声整形的功能,将低频噪声推高到感兴趣频带之外的较高频率。 噪声整形是Δ-Σ转换器非常适合低频、高精度测量的原因之一。

Δ-Σ调制器的输入信号是随时间变化的模拟电压。对于早期的Δ-Σ ADCs,这种输入电压信号主要用于交流信号很重要的音频应用。 现在注意力已经转向精密应用,转换率包括直流信号。 本次讨论将使用正弦波的单个周期进行说明。

Δ-Σ调制器输入的正弦波的单个周期。该单个周期具有随时间变化的电压幅度。

有两种查看Δ-Σ调制器的方法——在时域或在频域。 时域框图显示了一阶Δ-Σ调制器的机制。调制器将模拟输入信号转换为高速、单比特、调制脉冲波。更重要的是,图4中的频率分析显示了调制器如何影响系统中的噪声并促进产生更高分辨率的结果。

Δ-Σ调制器获取输入信号的许多样本以产生 1 位代码流。 系统时钟与调制器的 1 位比较器一起实现采样速度 fS。以这种方式,Δ-Σ 调制器的量化作用以与系统时钟相等的高采样率产生。

与所有量化器一样,Δ-Σ调制器产生代表输入电压的数字值流,在本例中为 1 位流。 因此,1 与 0 的数量之比代表输入模拟电压。 与大多数量化器不同,Δ-Σ调制器包括一个积分器,它具有将量化噪声整形为更高频率的效果。 因此,调制器输出端的噪声频谱并不平坦。

在时域中,模拟输入电压和1位数模转换器DAC) 的输出是微分的,在 x2 处提供模拟电压。该电压被提供给积分器,其输出沿负或正方向前进。x3 处信号的斜率和方向取决于x2处电压的符号和幅度。

在x3处的电压等于比较器参考电压时,比较器的输出根据其原始状态从负切换为正,或从正切换为负。比较器的输出值 x4 被计时回 1 位 DAC,并计时到数字滤波器级yi。当比较器的输出从高电平切换到低电平或从高电平切换到低电平时,1位DAC 通过改变差分放大器的模拟输出电压做出响应。

这会在 x2 处产生不同的输出电压,从而导致积分器向相反方向前进。该时域输出信号是采样率 (fS) 下输入信号的脉冲波表示。如果对输出脉冲串进行平均,则它等于输入信号的值。

离散时间框图还显示了时域传递函数。 在时域中1位ADC将信号数字化为粗略的 1 位输出代码,从而产生转换器的量化噪声。 调制器的输出等于输入加上量化噪声 ei–ei – 1。如该公式所示,量化噪声是当前量化误差 (ei)与先前量化误差(ei – 1)之差 。图4说明了这种量化噪声的频率位置。

积分器和采样策略的组合在数字输出代码上实现了噪声整形滤波器。 在频域中,时域输出脉冲表现为输入信号(或杂散)和整形噪声。 噪声特性是了解调制器的频率操作以及Δ-ΣADCs 实现如此高分辨率的能力的关键。

调制器中的噪声移出到更高的频率。显示一阶调制器的量化噪声从零赫兹开始很低,迅速上升,然后在调制器的采样频率处达到最大值( fS)。

使用积分两次而不是一次积分的电路是降低调制器带内量化噪声的好方法。 图 5 显示了一个具有两个积分器而不是一个积分器的 1 位二阶调制器。在这个二阶调制器示例中,噪声项不仅取决于前一个误差,还取决于前两个误差。

二阶或多阶调制器的一些缺点包括增加的复杂性、多个环路和增加的设计难度。 然而,大多数Δ-Σ调制器都是高阶调制器,如图5所示。例如,德州仪器Δ-Σ转换器包括二阶到六阶调制器。

多阶调制器将量化噪声整形到比低阶调制器更高的频率。 在图6中,频率 fS 处的最高线显示了三阶调制器的噪声响应。 请注意,该调制器的输出在其fS 采样频率下一直非常嘈杂。

然而,在较低频率、低于fD和接近输入信号杂散时,三阶调制器非常安静。fD 是数字/抽取滤波器的转换频率。 本系列文章的第 2 部分将讨论为fD 选择一个值。

参考:

1. R. Jacob Baker, CMOS: Mixed-Signal Circuit Design, Vol. II. John Wiley & Sons, 2002.

2. Texas Instruments, Nuts and Bolts of the Delta-Sigma Video Tutorial [Online]。 Available: http://focus.ti.com/ docs/training/catalog/events/event.jhtml?sku= WEB408001

编辑:jq

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 滤波器
    +关注

    关注

    158

    文章

    7331

    浏览量

    174772
  • adc
    adc
    +关注

    关注

    95

    文章

    5651

    浏览量

    539452
  • 信号处理
    +关注

    关注

    47

    文章

    854

    浏览量

    102527
  • 调制器
    +关注

    关注

    3

    文章

    784

    浏览量

    44715

原文标题:delta-sigma电路如何工作?

文章出处:【微信号:leezym0317,微信公众号:FPGA开源工作室】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    RZ MPU Delta-sigma工作原理 Delta-Sigma的应用简介

    目前隔离式Delta-Sigma模数转换器在伺服驱动的相电流检测中得到越来越广泛的应用。
    的头像 发表于 03-22 13:55 1124次阅读

    关于NS SAR ADC的paper结构介绍

    NS SAR的主要优势在于其能够在传统SAR ADC的结构内部实现Delta-sigma的操作,这无论从能量和面积上讲都是非常高效的。
    的头像 发表于 02-18 17:26 365次阅读
    关于NS SAR ADC的paper结构介绍

    关于在PSoC 5LP上驱动Delta Sigma ADC的问题求解

    我想问一些关于在 PSoC 5LP 上驱动 Delta Sigma ADC 的问题。 首先,简单介绍一下我的用例:我想使用 Delta Sigma ADC 来测量介于 100 nA 到
    发表于 01-23 07:05

    PSOC 5LP delta-sigma A/D误差随位数的增加而增加是为什么?

    在 cyc8kit-5LP 上使用 Del-Sigma A/D 为 8 位,但是当增加到 10 位或更多位时,误差会增加。 我正在使用 UART 向终端显示测量结果 该组件配置为: 如果我的输入
    发表于 01-22 07:25

    MAX11214: 24-Bit, 5mW, 140dB SNR, 32ksps Delta-Sigma ADC with Integrated PGA Data Sheet MAX11214: 24-Bit, 5mW, 140dB SNR, 32ksps Delta-Sigma

    电子发烧友网为你提供ADI(ADI)MAX11214: 24-Bit, 5mW, 140dB SNR, 32ksps Delta-Sigma ADC with Integrated PGA Data
    发表于 10-17 18:35
    MAX11214: 24-Bit, 5mW, 140dB SNR, 32ksps <b class='flag-5'>Delta-Sigma</b> ADC with Integrated PGA Data Sheet MAX11214: 24-Bit, 5mW, 140dB SNR, 32ksps <b class='flag-5'>Delta-Sigma</b>

    MAX11208: 20-Bit, Single-Channel, Ultra-Low-Power, Delta-Sigma ADC with 2-Wire Serial Interface Data Sheet MAX11208: 20-Bit, Single-Channel,

    电子发烧友网为你提供ADI(ADI)MAX11208: 20-Bit, Single-Channel, Ultra-Low-Power, Delta-Sigma ADC with 2-Wire
    发表于 10-13 19:21
    MAX11208: 20-Bit, Single-Channel, Ultra-Low-Power, <b class='flag-5'>Delta-Sigma</b> ADC with 2-Wire Serial Interface Data Sheet MAX11208: 20-Bit, Single-Channel,

    ADFS7124-4: 4-Channel, Low Noise, Low Power, 24-Bit, Sigma-Delta ADC with PGA and Reference Data Sheet ADFS7124-4: 4-Channel, Low Noise, Low

    电子发烧友网为你提供ADI(ADI)ADFS7124-4: 4-Channel, Low Noise, Low Power, 24-Bit, Sigma-Delta ADC with PGA
    发表于 10-12 18:31
    ADFS7124-4: 4-Channel, Low Noise, Low Power, 24-Bit, <b class='flag-5'>Sigma-Delta</b> ADC with PGA and Reference Data Sheet ADFS7124-4: 4-Channel, Low Noise, Low

    AD4129-8:32 微A,超低功率,16-Bit Sigma-Delta ADC,综合PGA和FIFO数据表 ADI

    电子发烧友网为你提供ADI(ADI)AD4129-8:32 微A,超低功率,16-Bit Sigma-Delta ADC,综合PGA和FIFO数据表相关产品参数、数据手册,更有AD4129-8
    发表于 10-10 19:21
    AD4129-8:32 微A,超低功率,16-Bit <b class='flag-5'>Sigma-Delta</b> ADC,综合PGA和FIFO数据表 ADI

    AD4131-8:32 微A,超低功率,16-Bit Sigma-Delta ADC,综合PGA数据表 ADI

    电子发烧友网为你提供ADI(ADI)AD4131-8:32 微A,超低功率,16-Bit Sigma-Delta ADC,综合PGA数据表相关产品参数、数据手册,更有AD4131-8
    发表于 10-10 19:18
    AD4131-8:32 微A,超低功率,16-Bit <b class='flag-5'>Sigma-Delta</b> ADC,综合PGA数据表 ADI

    AD7124-8:8个通道、低噪音、低功率、24Bit、Sigma-Delta ADC,PGA和参考数据表 ADI

    电子发烧友网为你提供ADI(ADI)AD7124-8:8个通道、低噪音、低功率、24Bit、Sigma-Delta ADC,PGA和参考数据表相关产品参数、数据手册,更有AD7124-8:8个通道
    发表于 10-10 18:35
    AD7124-8:8个通道、低噪音、低功率、24Bit、<b class='flag-5'>Sigma-Delta</b> ADC,PGA和参考数据表 ADI

    STM32F37x/38x SDADC(Sigma-Delta ADC)入门

    电子发烧友网站提供《STM32F37x/38x SDADC(Sigma-Delta ADC)入门.pdf》资料免费下载
    发表于 09-21 11:29 3次下载
    STM32F37x/38x SDADC(<b class='flag-5'>Sigma-Delta</b> ADC)入门

    增量式Sigma-Delta ADC与传统结构的区别在哪?

    Sigma-Delta ADC设计中,应用于高精度窄带信号,例如生物医疗,仪表测量等领域的Sigma-Delta ADC通常被称为增量式(Incremental) Sigma-Delta ADC。
    的头像 发表于 07-03 16:54 1522次阅读
    增量式<b class='flag-5'>Sigma-Delta</b> ADC与传统结构的区别在哪?

    深入理解sigma-delta调制技术

    分享第一个Topic,sigma-delta技术。
    的头像 发表于 06-02 15:28 3403次阅读
    深入理解<b class='flag-5'>sigma-delta</b>调制技术

    sigma_delta和PWM的区别是什么?

    我听说 esp8266 没有硬件 PWM,PWM 库使用内部定时器来驱动 PWM 等输出。但我最近发现了 esp8266 的 delta_sigma 引脚驱动器兼容性并且很高兴,因为我终于可以通过它
    发表于 05-31 06:13

    delta-sigma调制过后的高频噪声是怎么去掉的?

    有没人了解或研究过delta-sigma DPWM,问下这里的delta-sigma 调制有没有应用类似delta-sigma ADC里面的过采样? 另外,说是delta-sigma
    发表于 05-06 17:43