0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

教你们怎么设置或获取BITSTREAM属性信息

XILINX开发者社区 来源:TeacherGaoFPGAHub 作者:TeacherGaoFPGAHub 2021-06-18 10:24 次阅读

首先我们看一下如何在Vivado下设置BITSTREAM配置信息。这可以在综合之后进行。借助如下操作:

打开综合后的设计

依次点击Tools-》 Edit Device Properties

在这个界面的左侧部分,相当于主菜单,右侧部分为菜单细节。例如,这里选择General,可以看到右侧BitstreamProperties细节。如果要对生成的bit文件进行压缩,就可以选择这里的Enable Bitstream Compression。有时如果只记得某个属性名字,不知道在哪个菜单下,可直接在搜索处(主菜单上方的放大镜处)输入关键字就可以快速找到。

这里我们选择SPI配置方式,同时将未使用的FPGA管脚设置为下拉。

事实上,这些信息最终都是以约束的形式被保存在.xdc文件中。上述设置对应的约束即为(这些命令会出现在Vivado Tcl Console中):

ef0fbf9c-cfa1-11eb-9e57-12bb97331649.png

如果已经执行完布局布线并生成了布线后的.dcp文件,那么如何从这个文件中获取BITSTREAM信息呢?这个借助Tcl命令就很简单,具体命令如下图所示。

ef1e0dc2-cfa1-11eb-9e57-12bb97331649.png

其中第一条命令是将当前目录切换到Vivado工程所在目录。第二条命令则会生成BITSTREAM相关属性信息,并将其写入指定文件中。文件内容如下图所示。可以看到和之前的设置是一致的。

ef2915f0-cfa1-11eb-9e57-12bb97331649.png

有兴趣的同学可执行下面的命令,观察输出结果,理解命令list_property和list_property_value的含义。

efa4969e-cfa1-11eb-9e57-12bb97331649.png

编辑:jq

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1602

    文章

    21320

    浏览量

    593173
  • TCL
    TCL
    +关注

    关注

    10

    文章

    1658

    浏览量

    87932

原文标题:如何设置或获取BITSTREAM属性信息?

文章出处:【微信号:gh_2d1c7e2d540e,微信公众号:XILINX开发者社区】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    如何调整S7-1500中S7-GRAPH FB的保持性属性

    FB 块属性中“优化的块访问”默认勾选并且显示灰色无法操作,如图 2 所示。对于需要从CPU停止时所处步号继续运行的应用场合,必须设置保持性属性为“保持”。
    发表于 04-03 09:17 82次阅读
    如何调整S7-1500中S7-GRAPH FB的保持性<b class='flag-5'>属性</b>

    鸿蒙应用/元服务开发-窗口(Stage模型)设置应用主窗口

    获取应用主窗口,即可对其进行属性设置等操作。还可以在应用配置文件中设置应用主窗口的属性,如最大窗口宽度maxWindowWidth等。 二、
    发表于 02-05 14:45

    使用LTC2943可以正确检测电压、电流、温度等信息,怎么计算获取电池电量剩余量呢?

    目前,使用LTC2943可以正确检测电压、电流、温度等信息,但怎么计算获取电池电量剩余量呢? 尝试过根据电压划分剩余量25% 50% 75% 100%,但误差太大无法接受。 请问实际应用此款芯片,要怎么计算?
    发表于 01-05 10:20

    连接相机丢包怎么办?如何设置网卡属性

    连接相机丢包怎么办?如何设置网卡属性
    的头像 发表于 12-12 16:26 227次阅读
    连接相机丢包怎么办?如何<b class='flag-5'>设置</b>网卡<b class='flag-5'>属性</b>?

    DFX设计中Bitstream文件详解

    Fullconfiguration bitstreams对应的是静态区加动态区的完整设计,因此,该文件与传统的非DFX工程生成的bitstream从文件格式到文件结构均是一致的。同时使用方法也是一致
    的头像 发表于 12-07 10:45 505次阅读
    DFX设计中<b class='flag-5'>Bitstream</b>文件详解

    placeholder属性和value属性的差别

    在现代的Web设计和开发中,表单是至关重要的元素之一。与此同时,placeholder属性和value属性在表单中扮演着重要的角色。本文将详细探讨这两个属性的区别,深入探究它们在不同场景下的应用及其
    的头像 发表于 11-30 10:13 460次阅读

    placeholder属性的作用

    placeholder属性是HTML表单中的一个属性,用于为表单字段提供占位符文本。当用户点击或选择表单字段时,占位符文本会消失,用户可以输入自己的内容。它的主要作用是为用户提供一些提示信息,让用户
    的头像 发表于 11-30 10:12 462次阅读

    ROS中如何设置消息信息

    设置消息信息 ROS 消息是结构。可以直接复制它们以生成新的消息。副本和原始消息都有自己的数据。创建一条类型为geometry_msgs/Twist的消息。 twist = rosmessage
    的头像 发表于 11-15 14:55 140次阅读
    ROS中如何<b class='flag-5'>设置</b>消息<b class='flag-5'>信息</b>

    SDCardUtils封装类应用:设备信息获取实现方法

    一、 获取手机基本信息(厂商、型号等参数) 二、设备信息获取实现图 三、 获取手机设备 宽、高、IMEI
    的头像 发表于 11-13 09:40 311次阅读
    SDCardUtils封装类应用:设备<b class='flag-5'>信息</b><b class='flag-5'>获取</b>实现方法

    Python 如何获取旅游景点信息

    今天将手把手教你使用线程池爬取同程旅行的景点信息及评论数据并做词云、数据可视化!!!带你了解各个城市的游玩景点信息。 在开始爬取数据之前,我们首先来了解一下线程。 线程 进程 :进程是代码在数据集合
    的头像 发表于 10-21 11:10 314次阅读
    Python 如何<b class='flag-5'>获取</b>旅游景点<b class='flag-5'>信息</b>

    如何使用python获取和修改图像信息

    获取和修改图像信息 在对图像进行压缩之前,我们可能需要获取一些图像的基本信息,例如大小、格式、模式等。这些信息可以通过Image对象的
    的头像 发表于 09-12 17:26 577次阅读

    手把手教你动态编辑Xilinx FPGA内LUT内容

    在7系列FPGA中,将近2/3的SLICE是SLICEL,其余的是SLICEM[1],也就是说,FPGA内2/3的资源在bitstream文件下载后,其逻辑功能就无法更改了,除非修改代码并生成新的bitstream文件。
    的头像 发表于 08-26 14:18 1956次阅读
    手把手<b class='flag-5'>教你</b>动态编辑Xilinx FPGA内LUT内容

    Linux内核模块解析设备树内容

    设备树中添加设备节点后,驱动可以根据节点中的属性进行一些设置。那么,驱动如何获取设备节点的属性信息呢?
    发表于 08-24 16:01 239次阅读
    Linux内核模块解析设备树内容

    Armv8-A和Armv9-A的内存属性属性介绍

    代码驱动器)的任何人都有用。对于任何写入内存管理单元(MMMU)的代码的人来说,设置管理内存管理单元(MMU)尤其相关。在指南的结尾,您可以检查自己的知识。您将了解不同的内存类型及其关键差异,您也将能够列出可用于特定地址的内
    发表于 08-02 09:03

    求分享有关eDMA引擎生成的AHB事务属性信息

    我正在寻找有关 eDMA 引擎生成的 AHB 事务属性信息,通常是可以生成的事务的内存类型(与 HPROT 信号相关联)。 直觉上,我倾向于将每个事务都视为不可缓存、不可缓冲(device-nE 内存类型),但我找不到对此的确认。
    发表于 05-31 09:09