0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Vivado的XDC设置输出延时问题

电子工程师 来源:XILINX开发者社区 作者:赛灵思开发者 2021-06-09 17:28 次阅读

Vivado 的XDC设置输出延时

Vivado 的XDC设置输出延时,用于输出伴随时钟和数据的,数据是由系统时钟125M驱动,伴随时钟是由125M经过Pll相位移动-90度。

设置输出时钟时,参考时钟选择相移的那个,发现不起作用,没有路径。

如果选择系统时钟,分析后是系统时钟的最大最小延时,没有相位移动后的信息,这是什么问题?

伴随时钟创建的Create_generated_clock中的Set_output_delay如下:

1f6a0dfe-c576-11eb-9e57-12bb97331649.png

Txc1 是锁相环移动相位后直接送到输出管脚,Rxc1是驱动数据的。

数据输出路径以及对应的Clock的连接Schematic截图

Txc是Rx经过锁相环再经过oddr生成的

数据输出是Rxc驱动Oddr打出来的

软件认为这个时钟没有路径,这个问题在ISE上也遇到过,软件时钟始终不认伴随时钟

A1

ISE约束和Vivado的约束用法不同,Create_generated_clock的source指定的net是哪一段,改为用get_pins指定的ODDR的C pin试试。Report_clocks结果查一下你的clock约束都生效了吗?

Q2

指定Obuf的O管脚和Edit Constraints重新编辑后,约束成功了,请问原因是什么?create_generated_clock在set_output_delay约束的签名,有时候把锁相环输出的bufg改成no buffer但是生成的网表里仍然有,关闭再打开就没了,但时序分析路径却有这个bug。

A2

是不是synthesized design没有reload?如果synthesized design 已经是打开的情况下,修改设计重新synthesize,已经打开的synthesized design会提示需要reload,否则是修改之前的结果

如果发生约束没有约束上的问题,可以查一下messages窗口里的critical warning或warning,看是否有提到关于这条约束的问题。

也可以在synthesized design或者implemented design的tcl console里,report_timing用-from -to指定路径起始点来report下相应path看是如何分析的,可以从分析结果看下是path不存在还是约束有问题。

如果用edit constraints重新编辑并保存就好了,很可能是原来手写的约束哪里有问题

原文标题:本周一问 | Vivado 的XDC设置输出延时

文章出处:【微信公众号:XILINX开发者社区】欢迎添加关注!文章转载请注明出处。

责任编辑:haq

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • Xilinx
    +关注

    关注

    70

    文章

    2121

    浏览量

    119372
  • Vivado
    +关注

    关注

    18

    文章

    790

    浏览量

    65093

原文标题:本周一问 | Vivado 的XDC设置输出延时

文章出处:【微信号:gh_2d1c7e2d540e,微信公众号:XILINX开发者社区】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    详解Vivado非工程模式的精细设计过程

    设置设计的输出路径,设置设计输出路径的步骤如下所示。 第一步:如图4.3所示,在“Vivado%”提示符后输入命令“set outputD
    发表于 04-03 09:34 88次阅读
    详解<b class='flag-5'>Vivado</b>非工程模式的精细设计过程

    如何使RC延时电路无延时呢?

    如何使RC延时电路无延时呢? RC延时电路是一种常见的电子电路,用于在电路中引入一个可控的时间延迟。然而,有时候我们可能需要使RC延时电路无延时
    的头像 发表于 11-20 17:05 521次阅读

    延时脉冲电路原理分析

    延时脉冲电路是一种可以在输入脉冲信号的基础上产生一个延时后的输出脉冲信号的电路。
    的头像 发表于 10-26 09:20 668次阅读

    Vivado设计套件用户:使用Vivado IDE的指南

    电子发烧友网站提供《Vivado设计套件用户:使用Vivado IDE的指南.pdf》资料免费下载
    发表于 09-13 15:25 5次下载
    <b class='flag-5'>Vivado</b>设计套件用户:使用<b class='flag-5'>Vivado</b> IDE的指南

    Vivado使用指南

    Console:TCL控制台,可输入TCL命令,也可以使用历史命令和输出的历史记录。Messages:显示活动设计的所有消息,可看到Vivado的运行结果列表,包含一些错误,警告,以及运行信息等。Log:日志
    发表于 09-06 17:55

    基于 FPGA Vivado 示波器设计(附源工程)

    ’ 5.3.2 选择‘Output Clocks’,设置7路输出时钟(100MHz、25MHz、12.5MHz、25MHz、50MHz、75MHz、100MHz) 5.3.3 在Enable
    发表于 08-17 19:31

    基于 FPGA Vivado 信号发生器设计(附源工程)

    Vivado工程设计流程,学习信号发生器的基本组成结构。获取本篇相关源工程代码,可在公众号内回复“信号发生器设计源工程”。 设计原理 信号发生器能够产生频率波形可调的信号输出,目前仅限于1Hz
    发表于 08-15 19:57

    Vivado的Implementation阶段约束报警告?

     'sys_clk'. [timing.xdc:37](63 more like this)   A:对于约束的问题,我们可以在Vivado的tcl中先执行一下这些约束指令,如果有
    的头像 发表于 08-08 14:10 767次阅读

    vivado软件和modelsim软件的安装方法

    本文详细介绍了vivado软件和modelsim软件的安装,以及vivado中配置modelsim仿真设置,每一步都加文字说明和图片。
    的头像 发表于 08-07 15:48 1703次阅读
    <b class='flag-5'>vivado</b>软件和modelsim软件的安装方法

    西门子博途SCL :TON:接通延时

    可以使用“接通延时”指令将 Q 参数的设置延时 PT 指定的一段时间。
    的头像 发表于 07-18 10:09 8642次阅读
    西门子博途SCL :TON:接通<b class='flag-5'>延时</b>

    用 TCL 定制 Vivado 设计实现流程

    需要维护不同的输入文件,例如约束等,输出文件也不是标准网表格式,并且形式各异,导致整体运行时间过长,冗余文件较多。 Vivado 中则统一了约束格式和数据模型,在设计实现的任何一个阶段 都支持 XDC
    发表于 06-28 19:34

    如何在Vivado中添加时序约束呢?

    今天介绍一下,如何在Vivado中添加时序约束,Vivado添加约束的方法有3种:xdc文件、时序约束向导(Constraints Wizard)、时序约束编辑器(Edit Timing Constraints )
    的头像 发表于 06-26 15:21 2227次阅读
    如何在<b class='flag-5'>Vivado</b>中添加时序约束呢?

    Vivado综合参数设置

    如果你正在使用Vivado开发套件进行设计,你会发现综合设置中提供了许多综合选项。这些选项对综合结果有着潜在的影响,而且能够提升设计效率。为了更好地利用这些资源,需要仔细研究每一个选项的功能。本文将要介绍一下Vivado的综合参
    的头像 发表于 05-16 16:45 2128次阅读
    <b class='flag-5'>Vivado</b>综合参数<b class='flag-5'>设置</b>

    Vivado布线和生成bit参数设置

    本文主要介绍Vivado布线参数设置,基本设置方式和vivado综合参数设置基本一致,将详细说明如何设置
    的头像 发表于 05-16 16:40 3239次阅读
    <b class='flag-5'>Vivado</b>布线和生成bit参数<b class='flag-5'>设置</b>

    Vivado使用进阶:读懂用好Timing Report

    XDC 约束技巧》系列中讨论了XDC 约束的设置方法、约束思路和一些容易混淆的地方。我们提到过约束是为了设计服务,写入 Vivado中 的 XDC
    的头像 发表于 05-04 11:20 2735次阅读
    <b class='flag-5'>Vivado</b>使用进阶:读懂用好Timing Report