0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

一文详解Modelsim代码覆盖率功能使用

电子设计 来源:FPGA技术联盟 作者:默宸 2020-12-09 11:45 次阅读

作者:默宸

Modelsim代码覆盖率功能Code coverage,能报告出statement(语句)、branch(分支)、condition(条件)、expression(表达)、toggle(信号翻转)、fsm(有限状态机)等多种覆盖率情况。

1、编译选项(compile option):

在Modelsim的Workspace里选中需要查看代码覆盖率的文件,然后点击右键选择compile—>compile properties,如下图所示。

之后选择“Coverage”选项,出现下图所示的窗口,根据需要选择选项,这里选statement、branch、condition、expression和toggle。选完点ok。

2、编译(compile)

点工具栏中的,编译所有的选中的文件,成功后进行下面的步骤。

3、仿真(simulate)

点工具栏中的,出现下面的窗口:

(注:不要选择“Enable optimization”)

选择Others,出现如下图所示的窗口:

选择“Enable code coverage”后点“ok”后出现下面的窗口:

4、观察结果

完成以上操作后,代码覆盖率的窗口就出现了,点击(run –all)之后代码覆盖率的情况就出现在各窗口中。

下面介绍各子窗口:

(1)Workspace窗口

在workspace窗口选择Files标签页并拖动滚动条到右侧,设计的每个文件显示了语句、分支、条件和表达式等语句的执行覆盖率汇总统计信息

在workspace窗口选择Files标签页并拖动滚动条到右侧,本窗口将以设计中的每一条目来显示执行覆盖统计。

(2)Missed Coverage(未覆盖到对象列表窗)窗口
本窗口用于显示所选择文件未被执行的语句、分支、条件、表达式或未翻转过的信号。

在workspace窗口的Files标签页中选择不同的文件,Missed Coverage窗口的显示会随着选择的文件而更新。

上图为分支覆盖率的情况

上图为statement coverage(语句覆盖率)的情况。此时选择任何一个实体在源代码窗口中显示相应的行,设计如果包含为被执行的语句或分支的行,则被粉红色高亮显示,如下图。图中包含了Hits 和BC 栏用来显示语句执行(statement Hits)和分支覆盖(BC)的情况。

如下图所示,在主窗口菜单栏选择Tool—>Code Coverage—>Show coverage number.

Hits和BC 栏中的每一行图标将会被数字代替,这些数字代表了该语句或分支被执行的次数。

下表描述了个图标的含义:

pIYBAF9uDnKAOu80AABaDdAm_SU899.png

(3)Current Exclusion(当前不做覆盖率统计列表窗)

本窗口用于列出所指定的不进行覆盖统计的所有文件和行。

3.1 设置排出文件

如图,右击所选中的项,按图所示操作,

操作完后,在Current Exclusion窗口就会出现被排除的文件,同时源代码窗口的Hits栏的一些行会出现,表明这些行不做代码覆盖统计。

在Current Exclusion窗口中右击需要取消排除设置的文件,选择Cancel Selected Exclusions,恢复成原状,可以做代码覆盖率。

3.2 设置排出行

如图,在源代码窗口右击Hits栏中需要排除行的图标,图中为105行,选择第一个选项。

之后,在Current Exclusion窗口会显示出被排除的行信息,同时在源代码窗口,被排除行的Hits栏会出现,表明该行不做代码覆盖统计。

o4YBAF9uDoCAAbTBAAHnxVaqGE8498.png

取消排除行操作同上。

(4)Details(详细资料窗)窗口:

本窗口显示了如真值表或翻转细节等详细的未被覆盖到的情况。

当在Missed Coverage窗口中选择一行,可以在Details窗口中查看相应的详细信息,如下图:

(5)Instance Coverage(实例覆盖列表窗)

本窗口显示了每个实例的覆盖统计。

(6)Objects Window(信号窗口)

在该窗口中可以查看状态翻转统计,翻转覆盖统计了信号从一个状态变换到另一个状态的次数。

具体操作入下图所示:

o4YBAF9uDoqAI0n3AAKlF_576mo203.png

上述操作完之后出现Objects窗口,这样就可以查看每个信号翻转的具体情况。

pIYBAF9uDoyABFCiAAJCLy3HNXI633.png

5、创建代码覆盖率报告
如下图所示,在主窗口菜单栏选择Tool—>Code Coverage—>Reports

o4YBAF9uDo-AXL5zAAMGhb8k8jc518.png

出现下图所示的子窗口(报告设置窗口),根据需求设置各选项和报告存放路径。

点ok后即可查看Code Coverage Report:

o4YBAF9uDpSAWK9OAAHksFnniZc496.png

编辑:hfy


声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • ModelSim
    +关注

    关注

    5

    文章

    172

    浏览量

    46756
  • 代码
    +关注

    关注

    30

    文章

    4555

    浏览量

    66746
收藏 人收藏

    评论

    相关推荐

    最实用的Modelsim使用教程

    等,比quartus自带的仿真器功能强大的多,是目前业界最通用的仿真器之。 对于初学者,modelsim自带的教程是个很好的选择,在Help->SE PDF
    发表于 03-19 16:40

    怎么用Vivado做覆盖率分析

    在做仿真的时候往往会去做代码覆盖率功能覆盖率的分析,来保证仿真是做的比较充分完备的。
    的头像 发表于 01-03 12:34 585次阅读
    怎么用Vivado做<b class='flag-5'>覆盖率</b>分析

    软件代码结构化覆盖测试-MC/DC覆盖

    MC/DC的全称是Modified Condition/Decision Coverage,修正条件判定覆盖率。很多文章对于定义的解释都比较专业,通常也会让人感觉理解困难,本文我们用通俗易懂的说明给大家做介绍。
    的头像 发表于 12-15 15:22 2535次阅读
    软件<b class='flag-5'>代码</b>结构化<b class='flag-5'>覆盖</b>测试-MC/DC<b class='flag-5'>覆盖</b>

    代码覆盖率记录

    为确保具体的产品(例如,医疗或航空电子市场)质量合格, 通常需要提供语句覆盖与判定覆盖认证证明。对于各种嵌 入式系统,规范要求高度优化的代码需要实时测试。禁止 代码插装和运行时篡
    发表于 11-03 11:02 0次下载
    <b class='flag-5'>代码</b><b class='flag-5'>覆盖率</b>记录

    OTG功能使用方法

    OTG功能使用方法  什么是OTG功能? OTG(On-The-Go)即为“即插即用”的高速USB接口,在目前的市场上企业和普通消费者极度青睐,其在人们的生活中的应用场景非常广泛,比如U盘、键盘
    的头像 发表于 10-30 09:57 2004次阅读

    AT32 MCU Printf的功能使用方法

    AT32 MCU Printf的功能使用方法
    的头像 发表于 10-27 09:27 441次阅读
    AT32 MCU Printf的<b class='flag-5'>功能使</b>用方法

    CAN波特计算公式详解

    CAN波特计算公式详解
    发表于 10-20 07:57

    软件代码结构化覆盖测试-分支覆盖

    本篇我们介绍分支覆盖,从测试技术对代码的测试程度上来说,在复杂代码中,分支覆盖比语句覆盖效果要好。
    的头像 发表于 09-01 11:34 394次阅读
    软件<b class='flag-5'>代码</b>结构化<b class='flag-5'>覆盖</b>测试-分支<b class='flag-5'>覆盖</b>

    Vivado仿真器和代码覆盖率简析

    编写 HDL 通常是 FPGA 开发中耗时最少的部分,最具挑战性和最耗时的部分可能是验证。根据最终应用程序,验证可能非常简单,也可能非常复杂,简单的话只需对大多数功能进行检查或执行完全独立开发的测试平台来演示功能代码
    的头像 发表于 08-03 09:23 1291次阅读
    Vivado仿真器和<b class='flag-5'>代码</b><b class='flag-5'>覆盖率</b>简析

    静态代码分析器工具Helix QAC 2023.2: 提供 100% 的 MISRA C:2012 和 MISRA C:2023 规则覆盖率

    Helix QAC 2023.2 提供 100% 的 MISRA C:2012 和 MISRA C:2023 规则覆盖率,并更新相应的合规性模块以支持 MISRA C:2023。 此外,此版
    的头像 发表于 07-31 22:53 771次阅读
    静态<b class='flag-5'>代码</b>分析器工具Helix QAC 2023.2: 提供 100% 的 MISRA C:2012 和 MISRA C:2023 规则<b class='flag-5'>覆盖率</b>

    Vivado调用Modelsim仿真

    Modelsim是十分常用的外部仿真工具,在Vivado中也可以调用Modelsim进行仿真,下面将介绍如何对vivado进行配置并调用Modelsim进行仿真,在进行仿真之前需要提前安装Mo
    的头像 发表于 07-24 09:04 1990次阅读
    Vivado调用<b class='flag-5'>Modelsim</b>仿真

    modelsim自动化仿真实验 利用脚本实现modelsim自动化仿真

    大家好!今天给大家带来的是modelsim自动化仿真程序。我们在代码编写完成时,通常都需要先进行仿真,然后上板实验。但是如果我们每次仿真都要去新建一个工程,添加.v文件以及testbench文件
    的头像 发表于 07-19 10:10 1062次阅读
    <b class='flag-5'>modelsim</b>自动化仿真实验 利用脚本实现<b class='flag-5'>modelsim</b>自动化仿真

    SystemVerilog的覆盖率建模方式

    为了确保验证的完备性,我们需要量化验证目标。SystemVerilog提供了一套丰富的覆盖率建模方式。
    的头像 发表于 06-25 10:44 568次阅读

    [源代码]Python算法详解

    [源代码]Python算法详解[源代码]Python算法详解
    发表于 06-06 17:50 0次下载

    代码覆盖检测

    1 代码覆盖率概述 覆盖率(code coverage rate)是反映测试用例对被测软件覆盖程度的重要指标,也是衡量测试工作进展情况的重要指标。在
    的头像 发表于 05-12 22:26 2486次阅读
    <b class='flag-5'>代码</b><b class='flag-5'>覆盖</b>检测