0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

一种可延长静态时序分析仪精度的时序签核工具

PCB线路板打样 来源:LONG 2019-08-13 11:37 次阅读

德克萨斯州AUSTIN-IC表征提供商Silicon Metrics Corp.将推出基于SiliconSmart Models的产品线。该系列产品包括该公司为逻辑设计人员提供的首个产品 - 一种可延长静态时序分析仪精度的时序签核工具。

新产品线的一部分是生成开放式库API(OLA)的工具 - 兼容SiliconSmart模型。 Silicon Metrics声称这些模型使用的动态方法比现在的固定静态模型更准确。该公司还表示,其工具可以取代常用的标准延迟格式(SDF)反标注流程。

Cellrater,该公司的第一个工具,已更名为SiliconSmart CR。该产品有望对IC细胞库进行准确表征。新产品是生成模型的SiliconSmart OMC(开放模型编译器)和SiliconSmart TSO(定时签核),它可以精确地提升定时分析器。

“静态,固定的模型是Silicon Metrics公司总裁兼首席执行官Callan Carpenter表示,目前该行业的标准格式已经失去了它的实用性。 “你今天真正需要的是更精确的表征,你必须将这种表征与算法相匹配。”

SiliconSmart模型提供了一个实例 - 特定操作点(ISOP)功能。 Carpenter说,这很重要,因为芯片两侧的两个NAND门可能会受到热梯度,IR压降或交叉耦合的影响。因此,供电电压可能会有所不同,但这只能通过逐个实例建模来显示。

精心设计

此外,SiliconSmart模型使用“选择性细化”方法在性能和准确性方面提供最佳平衡。给定模型可以包括用于设计过程前端的基于表格的表示,具有用于后端优化的电阻 - 电容延迟计算的非线性ISOP模型以及用于定时签核的自表征模型。最高精度是一个完整的三维现场解决方案。

为了获得SiliconSmart模型的优势,用户必须首先使用SiliconSmart OMC创建它们,据该公司称,它是第一个库编译器生成符合OLA的模型。 OLA是硅集成计划(Si2)支持的新兴行业标准。

OMC工具可以从SiliconSmart CR获取输入,但不需要它。进入OMC的其他途径包括Synopsys的Liberty格式,高级库格式和延迟计算语言。该工具输出OLA 1.1和IEEE 1481 API兼容模型。对于每个生成的模型,OMC都会生成一个自动验证测试套件。

SiliconSmart TSO使用SiliconSmart模型为现有的静态时序分析工具提供Carpenter所谓的“Turbo boost”。 TSO嵌入在工具中,并在特定路径上运行,用户认为值得仔细观察。如果需要,TSO可以选择适当的抽象级别或用户可以做出决定,一直到完整的3-D场解算器。不过,在运行时间与准确度之间存在权衡。

Carpenter表示,TSO可以通过允许反向注释逐个实例的特性来显着提高准确性。它还消除了对SDF反向注释的任何需求。据Carpenter称,TSO的输出“只是一组更好的有序路径”,其报告的格式与主机时序分析器相同。

目前,Silicon Metrics仅宣布支持Cadence的时序分析器。 Ambit Envisia综合套件。更重要的是支持Synopsys,它在时序分析和综合方面处于领先地位。 Carpenter说发布任何公告还为时过早,但他指出Synopsys是Silicon Metrics的投资者,两家公司已合作超过一年。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 时序分析
    +关注

    关注

    2

    文章

    126

    浏览量

    22471
  • PCB打样
    +关注

    关注

    17

    文章

    2965

    浏览量

    21383
  • 华强PCB
    +关注

    关注

    8

    文章

    1831

    浏览量

    27459
  • 华强pcb线路板打样

    关注

    5

    文章

    14629

    浏览量

    42574
收藏 人收藏

    评论

    相关推荐

    静态时序分析原理及详细过程

    静态时序分析是检查IC系统时序是否满足要求的主要手段。以往时序的验证依赖于仿真,采用仿真的方法,覆盖率跟所施加的激励有关,有些
    的头像 发表于 11-25 11:03 9044次阅读
    <b class='flag-5'>静态</b><b class='flag-5'>时序</b>的<b class='flag-5'>分析</b>原理及详细过程

    [求助]静态时序分析时序仿真?

    自己做了个工程,静态时序分析的结果CLK信号的SLACK是负值(-7.399ns),书上说该值是负值时说明时序不对,但是我感觉
    发表于 03-03 23:22

    80μA静态电流同步降压控制器可延长汽车应用中的电池寿命

    DN1014- 80μA静态电流同步降压控制器可延长汽车应用中的电池寿命
    发表于 07-18 08:18

    静态时序分析与逻辑(华为内部培训资料)

    静态时序概念,目的 静态时序分析路径,方法 静态时序
    发表于 07-09 18:28 130次下载

    静态时序分析在IC设计中的应用

    讨论了静态时序分析算法及其在IC 设计中的应用。首先,文章讨论了静态时序分析中的伪路径问题以及路
    发表于 12-20 11:03 95次下载
    <b class='flag-5'>静态</b><b class='flag-5'>时序</b><b class='flag-5'>分析</b>在IC设计中的应用

    静态时序分析基础及应用

    _静态时序分析(Static_Timing_Analysis)基础及应用[1]。
    发表于 05-09 10:59 31次下载

    静态时序分析基础及应用

    静态时序分析基础及应用
    发表于 01-24 16:54 7次下载

    静态时序分析:如何编写有效地时序约束(一)

    静态时序分析一种验证方法,其基本前提是同步逻辑设计(异步逻辑设计需要制定时钟相对关系和最大路径延时等,这个后面会说)。静态
    的头像 发表于 11-22 07:07 3229次阅读

    正点原子FPGA静态时序分析时序约束教程

    静态时序分析是检查芯片时序特性的一种方法,可以用来检查信号在芯片中的传播是否符合时序约束的要求。
    发表于 11-11 08:00 58次下载
    正点原子FPGA<b class='flag-5'>静态</b><b class='flag-5'>时序</b><b class='flag-5'>分析</b>与<b class='flag-5'>时序</b>约束教程

    华为FPGA硬件的静态时序分析与逻辑设计

    本文档的主要内容详细介绍的是华为FPGA硬件的静态时序分析与逻辑设计包括了:静态时序分析一概念与
    发表于 12-21 17:10 20次下载
    华为FPGA硬件的<b class='flag-5'>静态</b><b class='flag-5'>时序</b><b class='flag-5'>分析</b>与逻辑设计

    时序分析静态分析基础教程

    本文档的主要内容详细介绍的是时序分析静态分析基础教程。
    发表于 01-14 16:04 14次下载
    <b class='flag-5'>时序</b><b class='flag-5'>分析</b>的<b class='flag-5'>静态</b><b class='flag-5'>分析</b>基础教程

    可延长小型电池续航力的超低静态电流 IC

    可延长小型电池续航力的超低静态电流 IC
    发表于 03-21 13:33 1次下载
    <b class='flag-5'>可延长</b>小型电池续航力的超低<b class='flag-5'>静态</b>电流 IC

    STA-0.静态时序分析概述

    静态时序分析(Static Timing Analysis, 以下统一简称 **STA** )是验证数字集成电路时序是否合格的一种方法,其中
    的头像 发表于 06-27 11:43 571次阅读
    STA-0.<b class='flag-5'>静态</b><b class='flag-5'>时序</b><b class='flag-5'>分析</b>概述

    静态时序分析的基本概念和方法

    引言 在同步电路设计中,时序是一个非常重要的因素,它决定了电路能否以预期的时钟速率运行。为了验证电路的时序性能,我们需要进行 静态时序分析
    的头像 发表于 06-28 09:38 800次阅读
    <b class='flag-5'>静态</b><b class='flag-5'>时序</b><b class='flag-5'>分析</b>的基本概念和方法

    静态时序分析的相关概念

      本文主要介绍了静态时序分析 STA。
    的头像 发表于 07-04 14:40 589次阅读
    <b class='flag-5'>静态</b><b class='flag-5'>时序</b><b class='flag-5'>分析</b>的相关概念