电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>可编程逻辑>在AI芯片领域 赛灵思认为FPGA芯片将是重头戏

在AI芯片领域 赛灵思认为FPGA芯片将是重头戏

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

2017年FPGA芯片进入云端 手机封测厂商涌入

(Amazon)日前宣布云端网路服务,将采用16纳米UltraScale+系列FPGA芯片,帮助云端服务器加速财务分析、影像处理、安控、机器学习等。值得注意的是,智能型手机两大品牌厂三星电子
2016-12-23 16:47:33

7系列FPGA芯片-的“雄韬伟略”

本帖最后由 ycq654263138 于 2012-9-21 16:32 编辑   电子发烧友网讯:FPGA 7系列芯片正以燎原之势席卷整个行业。本文,电子发烧友网小编将带领大家一起
2012-09-21 13:46:16

AI芯片可能只是FPGA的附庸

可乘之机。作为国内最优秀的AI芯片公司,深鉴科技被以3亿美元的价格卖给FPGA巨头。过去两年,深鉴科技是国内AI芯片领域冉冉升起的一颗明星。这家2016年3月成立的初创公司目前已完成三轮融资,投资方
2018-07-31 09:56:50

AI芯片格局最全分析 精选资料分享

本文分析了国内外AI芯片的格局和特点,作者认为AI芯片领域,国外芯片巨头占据了绝大部分市场份额,不论是人才聚集还是公司合并等方面,都具有绝对的领先优势。而国内AI初创公司则又呈现百家争鸣
2021-07-23 07:10:29

FPGA

采购过美国公司的FPGA用于监视、侦察和火控系统中红外传感器的数据处理。  除了被广泛运用于军事领域FPGA芯片在民用市场也颇具潜力,应用场景非常广泛。比如即将到来的5G通信,通信基站其实就是一
2016-06-21 11:53:27

FPGA芯片选型

做pcie3.0协议分析仪,需要选取什么样的FPGA芯片,请大神指点一下,最好是
2016-08-11 15:19:45

FPGA平台非传统领域的应用

“从绝对市场份额上看,传统的通信市场仍是低成本FPGA的主要领域。但消费电子和汽车应用正在快速增长,低成本、低功耗、小体积的FPGA将为这些应用提供更强的发展动力。”公司(Xilinx)通用
2019-07-22 07:32:26

FPGA是Xilinx好,还是Altera好?

, 你是无法说好或者不好的。就像孩子看电影电视, 常常问:这个是好人还是坏人? 我们不能给出精确的结论。FPGA市场领域公司是FPGA的发明者, 无晶圆代工模式的先锋,也是积极把FPGA
2012-02-28 09:59:27

FPGA是用altera多还是的多呢

FPGA是用altera多还是的多呢,我买的开发板是altera的,但是很多人推荐说学习的好
2016-01-09 21:27:25

FPGA的发展现状如何?

FPGA的发展现状如何?推出的领域目标设计平台如何简化设计、缩短开发时间?
2021-04-08 06:18:44

FPGA设计之浮点DSP算法实现【工程师作品】

FPGA设计之浮点DSP算法实现,DSP算法是很多工程师设计过程中都会遇到的问题,本文将从FPGA设计的角度来讲解浮点DSP算法的实现。FPGA设计之浮点DSP算法实现是工程师最新力作,资料不可多得,大家珍惜啊1FPGA设计之浮点DSP算法实现[hide][/hide]
2012-03-01 15:23:56

FPGA设计时序约束指南【工程师力作】

的一条或多条路径。 FPGA 设计中主要有四种类型的时序约束:PERIOD、OFFSET IN、OFFSET OUT 以及 FROM: TO(多周期)约束。FPGA设计时序约束指南[hide][/hide]`
2012-03-01 15:08:40

FPGA中使用ARM及AMBA总线

国外的融合技术专家展示了一项基于FPGA的数据采集系统,用于合成孔径成像技术。采用了Xilinx ISE设计软件,支持ARM AMBA AXI4接口。文风犀利,观点新颖,FPGA中使用ARM及AMBA总线中不可多得的资料FPGA中使用ARM及AMBA总线[hide][/hide]
2012-03-01 15:48:17

7系列采用FPGA电源模块

。ROHM与安富利公司共同开发7系列FPGA及Zynq®–7000 All Programmable SoC的评估套件Mini-Module Plus 用的电源模块。安富利公司已经开发出多款
2018-12-04 10:02:08

FPGA原理图例子之s3astarter

`FPGA原理图例子之s3astarter 一向是FPGA领域里的领先者,运用FPGA需要深入的理解它的工作原理,小编亲子整理了s3astarter 的经典fpga原理图分享给电子工程师们。FPGA原理图例子之s3astarter [hide][/hide]`
2012-03-16 10:41:19

FPGA对DLP数字影院投影仪产生了哪些影响?

公司(Xilinx)日前宣布NEC子公司NEC Display Solutions有限公司的三款DLP数字影院投影仪产品,均采用了Virtex®-5 FPGA系列产品。
2019-08-19 07:12:03

FPGA设计大赛参赛者自评分表格下载

FPGA设计大赛参赛者自评分表格下载自评分表填写指引:参赛者须于提交设计作品时一并呈交自评分表。每一个参赛作品最高可获得10分自评分。请在适当的方格上打勾。参赛者作品自评分表格下载:[hide
2012-04-24 15:07:27

FPGA该怎么应对内窥镜系统架构的挑战?

  什么是FPGA?如何帮助内窥镜制造商克服复杂的设计约束,生产出极具竞争优势的产品?如何帮助他们成功构建外形小巧的低功耗内窥镜摄像头、高性价比的摄像机控制单元(CCU),以及多功能、低成本的图像管理设备?  
2019-09-17 06:31:55

fpga设计比赛火爆进行中

fpga设计比赛于4月23日上线,得到了广大电子工程师特别是fpga爱好者的大力关注和广泛支持。本次大赛支持个人报名和团体报名,其中团队报名数量达到了20个团队。还没有参加比赛的电子工程师
2012-06-06 14:49:12

ISE® 设计套件11.1版对FPGA有什么优化作用?

每一版本都提供了完整的FPGA设计流程,并且专门针对特定的用户群体(工程师)和特定领域的设计方法及设计环境要求进行了优化。那大家知道ISE® 设计套件11.1版对FPGA有什么优化作用吗?
2019-07-30 06:52:50

Spartan开发板使用困境记录 精选资料分享

Spartan开发板使用困境记录原理图和接口主要是对照核心板的原理图,一般的接法就是系列的单片机,连接好电源和下载器,记得预先安好驱动,驱动安装成功与否能够设备管理器处查看。作者困境
2021-07-13 08:42:10

Verilog(FPGACPLD)设计小技巧

Verilog(FPGACPLD)设计小技巧
2012-08-19 22:52:02

Virtex-6 HXT FPGA ML630提供参考时钟电路图

Virtex-6 HXT FPGA ML630评估套件采用SiTime电子发烧友振具体型号为:SIT9102AI-243N25E200.0000,而目前针对这一型号sitime推出了抖动更低
2014-11-17 15:07:35

Zynq-7000可扩展处理平台让编程流程更简单

的可扩展处理平台(EPP), 今年3月发布了基于Zynq -7000新系列的首批器件。 采用28 nm制造工艺, Zynq-7000嵌入式处理平台系列的每款产品均采用带有NEON及双精度浮点引擎
2019-05-16 10:44:42

公司亚太区销售与市场副总裁给XILINX客户的信

尊敬的客户朋友们:在此,我谨代表公司与您分享一个激动人心的喜讯: 3 月1 日,公司宣布全球第一片28nmFPGA 芯片(7K325T) 成功量产了!该里程碑式信息的发布,不仅是
2012-03-22 15:17:12

推出全球最大容量的FPGA – Virtex UltraScale+ VU19P

自适应和智能计算的全球领先企业公司(Xilinx, Inc.,(NASDAQ:XLNX))今天宣布推出全球最大容量的 FPGA – Virtex UltraScale+ VU19P,从而进一步
2020-11-02 08:34:50

有哪几种ISE设计套件配置版本 ?

有哪几种ISE设计套件配置版本 ?
2021-04-30 06:30:50

FPGA用什么开发工具编程,有没有大佬分享一下安装包

FPGA用什么开发工具编程,有没有大佬分享一下安装包
2018-05-24 17:51:38

的DDR3读写地址一直重复怎么办?

最近在用的DDR3,用的AXi4接口,我写入的地址是按照突发长度来的,连续给8个读的地址,但是DDR3端,dq_addr 一直1418,1000,1010,0003,0002 等几个地址中
2016-06-24 10:38:18

高性能40nm Virtex-6 FPGA系列通过全生产验证

【来源】:《电子设计工程》2010年02期【摘要】:<正>公司与联华电子共同宣布,采用联华电子高性能40nm工艺的Virtex-6FPGA,已经完全通过生产前的验证
2010-04-24 09:06:05

(XILINX)全新7系列FPGA详述

(XILINX)全新7系列FPGA详述
2012-08-14 12:20:22

AMD正收购Xilinx,规模或超300亿美元

了 1000 亿美元,这得益于新冠疫情居家办公提升了 PC、游戏主机以及其他设备的市场需求,而这些设备使用了 AMD 芯片主要被称为现场可编程逻辑门阵列(FPGA)的微芯片,是该领域的龙头公司
2020-10-10 15:41:19

XilinxFPGA技术及应用线上公开课

` 本帖最后由 MGJOY 于 2017-4-10 15:07 编辑 本周三,4月12日,FPGA技术及应用线上公开课。欢迎大家观看、学习交流~分享主题【FPGA人工智能领域技术及应用】嵌入式视觉领域技术和解决方案机器学习方面的技术和解决方案ADAS/自动驾驶方面的应用`
2017-04-10 15:06:16

Xilinx方案

能做方案的,请联系
2019-01-21 19:31:40

[原创]可编程逻辑芯片XCF08P

介绍了系统平台的闪存系列可编程配置PROM的可编程逻辑芯片XCF08P,1至32兆位(Mbit)密度,这些PROM提供了一个易于使用,低成本高效益的可编程逻辑芯片,以及用于存储大型
2010-04-07 13:37:44

”抢楼活动第二轮,中奖楼层公布!

该论坛公布)礼品发送阶段:11月1日——11月10日(由电子发烧友负责邮寄)活动规则:1、网友抢楼活动主题帖跟帖,并观看“”视频,即有机会获得精美礼品;(视频观看地址:https
2013-10-11 10:40:34

【AD新闻】新CEO访华绘蓝图,7nm ACAP平台要让CPU/GPU难企及

?这样的经历对于提升今后的市场表现会有怎样的帮助? “计算领域的确正在发生一场非常重大的变革,能够CPU、GPU和FPGA领域同时工作过,对我来说是一份荣幸。”Victor Peng回答《国际
2018-03-23 14:31:40

【PYNQ-Z2申请】基于PYNQ-Z2平台的图像实时力学测量

项目名称:基于PYNQ-Z2平台的图像实时力学测量试用计划:申请理由本人在图像辅助力学测量领域有三年的研究经验,曾设计过类似基于光学及图像的微纳力学传感器,想借助发烧友论坛和
2019-01-09 14:49:25

【免费直播】让AI芯片拥有最强大脑—AI芯片的操作系统设计介绍.

-卡耐基梅隆大学联合工程学院博士,研究方向为面向人工智能的集成电路与系统设计。为人工智能芯片领域重要科学家,AI硬件技术领域作为第一作者发表了4篇顶级SCI学术论文(其中2篇trans期刊,分别为电路
2019-11-07 14:18:45

为什么说已经远远领先于Altera?

Altera和20年来都在FPGA这个窄众市场激烈的竞争者,然而Peter Larson基于对两个公司现金流折现法的研究表明,是目前FPGA市场的绝对领先者。
2019-09-02 06:04:21

什么是丰富目标设计平台?

今年年初,率先在FPGA领域提出目标设计平台概念,旨在通过选用开放的标准、通用的开发流程以及类似的设计环境,减少通用工作对设计人员时间的占用,确保他们能集中精力从事创新性的开发工作。
2019-08-13 07:27:15

使用MATLAB & Simulink Add-on插件面向Versal AI引擎设计

MATLAB & Simulink Add-on插件是将 ModelComposer 和 System Generator forDSP完美结合的统一工具。
2021-01-28 06:33:40

史上最强FPGA芯片行业综述

阵列块,定义为可配置逻辑块CLB)以及Interconnect(内部连接线)。FPGA芯片特点及分类FPGA芯片在实时性(数据信号处理速度快)、灵活性等方面具备显著优势,深度学习领域占据不可替代
2021-07-04 08:30:00

史上最强FPGA芯片行业综述

阵列块,定义为可配置逻辑块CLB)以及Interconnect(内部连接线)。FPGA芯片特点及分类FPGA芯片在实时性(数据信号处理速度快)、灵活性等方面具备显著优势,深度学习领域占据不可替代
2021-07-04 08:30:00

回收Xilinx芯片 收购芯片

回收Xilinx带板芯片, 回收工厂XILINX系列IC:XC3S1500FGG676EGQ、XC5VLX50-1FFG676、XC5VLX110-1FFG676C
2021-12-17 10:02:19

回顾Elecfans开放日之“跟安富利学FPGA的工业应用“

业界关注。安富利联合电子发烧友给广大工程师提供一个优秀的线下活动。我们与您相约在11月16日,不见不散。【演讲内容简介】Xilinx FPGA作为业界领先的半导体芯片已广泛应用到众多领域中,尤其一些非
2013-11-01 13:48:38

基于FPGA的EtherCAT主站运动控制

基于FPGA的EtherCAT主站总线控制 ,论坛有做运动控制这方面的技术吗?目前我已实现带32轴同步运行,同步抖动±75ns,控制精度125us。感兴趣的可以一起探讨下
2018-07-23 12:00:39

基于FPGA的卷积神经网络实现设计

计算集群。因此,亟需一种能够加速算法又不会显著增加功耗的处理平台。在这样的背景下,FPGA 似乎是一种理想的选择,其固有特性有助于低功耗条件下轻松启动众多并行过程。让我们来详细了解一下如何在
2019-06-19 07:24:41

如何使用FPGA加速包处理?

FAST包处理器的核心功能是什么如何使用FPGA加速包处理?
2021-04-30 06:32:20

如何利用28纳米工艺加速平台开发?

全球可编程逻辑解决方案领导厂商公司 (Xilinx Inc.) 宣布,为推进可编程势在必行之必然趋势,正对系统工程师全球发布新一代可编程FPGA平台。和前代产品相比,全新的平台功耗降低
2019-08-09 07:27:00

工业FPGA与MCU之争,鹿死谁手?

和工业安全等巨大商机更是铺天盖地而来。嵌入式系统作为兵家必争的滩头阵地,主控芯片商战况火热。 随着、阿尔特拉、莱迪等一众 FPGA 全球顶级大厂携更高集成度、灵活、延迟小、处理速度快和整体成本
2014-07-22 13:49:58

怎么利用FGPA实现降采样FIR滤波器?

怎么利用FGPA实现降采样FIR滤波器?这种滤波器软件无线电与数据采集类应用中都很常见。
2019-08-15 08:21:22

提交FPGA设计方案,赢取FPGA开发板

“玩转FPGA:iPad2,开发板等你拿”活动持续火爆进行中……………………活动得到了广大电子工程师积极强烈的支持,为了回报电子工程师和网站会员,现在只需提交fpga设计方案,就有机会获得
2012-07-06 17:24:41

格林代尔重头戏首项

重头戏之一,格林代尔集成墙面表面材料为铝,铝本身被誉为最生态环保的材料,同时中间的填充层采用聚氨脂发泡,即与冰箱中采用的隔层相同,整个生产工艺借助高科技手断一次成型,不含甲醛等对人体有害的成份,天然更环保,装修无异味。
2016-03-25 10:02:14

的开发环境ISE软件下载地址

刚开始学FPGA,求他的ISE软件下载地址,我在网上没搜到。谢谢了
2012-08-02 09:52:12

海量干货分享!XDF(开发者大会)北京站各分论坛演讲资料公布

2018年 XDF (开发者大会)北京站的全部演讲内容现已开放,现整理供大家下载学习,以下是本届 XDF 的各分论坛演讲题目与资料。云端分论坛收敛 IO 加速平台 - Xilinx
2019-01-03 15:19:42

玩转FPGA (xilinx)FPGA设计大赛圆满结束

了解产品的机会,利用FPGA器件,开发设计产品,进一步提高FPGA设计能力和水平。  大赛参与情况  本次大赛主题是基于(xilinx)FPGA芯片进行作品设计(芯片型号和应用领域不限
2012-09-06 11:52:48

玩转FPGA (xilinx)FPGA设计大赛获奖名单!!!

  本次大赛主题是基于(xilinx)FPGA芯片进行作品设计(芯片型号和应用领域不限)。大赛历时3个多月,共有284名FPGA设计爱好者报名参与此次大赛,参赛选手中包括工程师42人、工程管理或
2012-09-06 11:54:16

玩转FPGA,FPGA设计大赛开赛啦

13日方案入围者,开始设计作品,并定期活动指定区域更新设计进展活动进展活动时间备注方案提交4月23日—5月12日必须使用芯片评选入围方案 入围者准备设计用品5月13日—5月24日由专家评选出若干
2012-04-23 09:31:16

玩转FPGA,FPGA设计大赛活动细则,参赛必看

本帖最后由 eehome 于 2013-1-5 10:00 编辑 玩转FPGA,FPGA设计大赛 本次大赛鼓励参赛者使用当前最受欢迎的热点技术领域热点芯片为主的方案,来作为大赛
2012-04-24 14:40:58

科普 | 一文了解FPGA技术知识

FPGA 自动驾驶解决方案的客户包括百度、海康威视、中科慧眼、元橡科技、极目科技等。截至 2018 年,的产品 29 个 OEM 品牌的 111 种车型上得到了应用。激光雷达芯片领域
2024-03-08 14:57:22

详解All Programmable Smarter Vision解决方案

详解All Programmable Smarter Vision解决方案
2021-06-02 06:56:12

请教关于FPGA国产芯片选型的问题?

以前的设备,数据处理用FPGA+单片机的方式,FPGA用于数据正交计算处理,单片机用于触摸屏显示,FPGA芯片Spartan6系列,单片机用MSP430。现在要求国产化,需要重新选型
2020-09-21 18:59:27

请问FPGA芯片如何外接D/A模块?

一根线输入,没有找到其余相关教程,故而来此寻求帮助2019.3.5更新谢谢各位回帖,我用的是芯片,正如楼下所说,用的是rom查找表生成的波形,仿真的时候有正常的波形,现在外接了tlc5615da
2019-02-27 16:44:18

超详细的FPGA芯片解读 精选资料推荐

国内超过100亿元的FPGA市场中,国产市占率仅为4%。目前,全球FPGA市场基本被四大巨头垄断:Xilinx()、Intel(英特尔,此前收购了Altera)、Lattice(莱迪)、Mic...
2021-07-30 06:32:06

这颗是限制料还是翻新料?

丝印查不到系列型号,引脚数量也对不上所有型号规格,也没有韩国产地
2023-02-24 17:01:32

选择(Xilinx)FPGA 7系列芯片的N个理由

  电子发烧友网讯:FPGA 7系列芯片正以燎原之势席卷整个行业。本文,电子发烧友网小编将带领大家一起走近Xilinx的FPGA 7系列芯片,从全新FPGA 7系列芯片的介绍、芯片优点、芯片
2012-09-06 16:24:35

采用FPGA实现DisplayPort详细教程【内部资料】

一些芯片制造商已针对上述应用推出了现成的标准发送器和接收机,而推出了名为 Xilinx LogiCORETMDisplayPort v1.1(v1.2 将在 IDS 12.1中配套提供
2012-03-01 11:10:18

高价回收系列IC

(XILILNX )系列IC,高价回收C-MEDIA系列IC.芯片. 高价回收.CAT系列IC.芯片..高价回收GENSIS系列IC.芯片..高价回收FTDI系列主控IC.芯片..高价回收
2021-04-06 18:07:50

高性能GSPS ADC为基于FPGA的设计解决方案带来板载DDC功能

作者:Ian BeaversAnalog Devices公司技术专家ian.beavers@analog.com高性能GSPSADC为基于FPGA的设计解决方案带来板载DDC功能宽带每秒
2019-06-14 05:00:09

:“玩转FPGA (xilinx)FPGA设计大赛”获奖奖品展示

  电子发烧友网讯:由(xilinx)公司和华强PCB网赞助,电子发烧友网主办的玩转FPGA设计大赛已经圆满结束。本活动获奖名单已经公布,详见:玩转FPGA (xilinx
2012-09-06 14:33:50

Xilinx/ XCS40XL-5PQ240C FPGA现场可编程逻辑器件 IC FPGA 192 I/O 240QFP

品牌XILINX/封装240-PQFP批次08+数量3500湿气敏感性等级 (MSL)3(168 小时)产品族嵌入式 - FPGA(现场可编程门阵列)系列Spartan®-XLLAB/CLB
2022-04-19 09:45:33

790.被并入AMD对中国FPGA厂商有什么意义?

fpga
小凡发布于 2022-10-05 02:52:44

一切为世博 “亮灯”是重头戏

一切为世博 “亮灯”是重头戏 摘要:最近一周,上海世博会各国参展方纷纷举办新闻发布会,总代表们介绍参展进程时,很多都给出
2010-03-29 09:38:16573

原来5G才是2017 CES 的重头戏

美国时间1月5日至1月8日,2017年国际消费电子展(CES)将在拉斯维加斯举行,5G成为本次展会重头戏
2017-01-05 16:09:02566

2018年IT战略3类趋势的分析 而数字化转型也会成为重头戏

2018年IT战略趋势分为三类:数据中心趋势、技术趋势、IT&OT趋势,但数字化转型依然将是2018年IT战略的重头戏
2017-12-26 13:56:145553

AI之路上FPGA芯片将是关键?

本文首先分析了FPGA受青睐的原因,其次阐述了FPGAAI中的优势,最后阐述了FPGA芯片发展潜力。
2018-05-31 11:16:378568

FPGA迎接AI时代而不是专用芯片

AI芯片领域,前有英伟达GPU独领风骚,后有谷歌对外开放TPU,赛灵思CEO Victor则认为FPGA芯片将是重头戏
2019-08-21 15:10:27474

AI时代即将到来,FPGA是最好的迎接方式

AI芯片领域,前有英伟达GPU独领风骚,后有谷歌对外开放TPU,赛灵思CEO Victor则认为FPGA芯片将是重头戏
2019-11-22 15:37:23517

已全部加载完成