电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>可编程逻辑>PLD技术>霸主战策略变,细数FPGA双雄流水账

霸主战策略变,细数FPGA双雄流水账

1234下一页全文

本文导航

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

FPGA双雄针锋相对,Xilinx与Altera霸主之争

电子发烧友网讯:在半导体行业,大家最常见的口水战除了英特尔和AMD,演进到现在的英特尔和ARM,就当数PLD领域的两大霸主Xilinx和Altera。在近十几年里,二者的争霸战就不曾停歇,行
2012-09-26 11:48:0360805

汤立人:华为采用ASIC替代FPGA系误读

不久前,据国外媒体报道,华为公司正在首次使用ASIC来替代其设备中的FPGA芯片,而这些芯片原本采购于FPGA主要厂商之一的Altera【 电子发烧友网关于此事报道:华为ASIC设计案,FPGA双雄
2012-11-14 08:47:561970

Altera紧咬Xilinx FPGA双雄新品应用势不可挡

FPGA双雄——Xilinx及Altera先后公布了公司最新季度报告。尽管FPGA双雄都出现了利润下滑的现象,但对于未来信心满满。本文从Xilinx及Altera近五个季度以来的销售额与净利润的增长率进行对比分析。
2013-01-25 14:00:241810

晶圆双雄及联发科卡位物联网芯片

物联网着眼于芯片整合及诉求超低功耗,晶圆双雄台积电和联电均建立相关制程平台,协助芯片厂抢商机;联发科也成立Linkit开发平台,以整合战方式卡位。
2016-05-30 11:26:37665

基于Verilog FPGA 流水灯设计

流水广告灯主要应用于LED灯光控制。通过程序控制LED的亮和灭, 多个LED灯组成一个阵列,依次逐个点亮的时候像流水一样,所以叫流水灯。由于其形成美观大方的视觉效果,因此广泛应用于店铺招牌、广告、大型建筑夜间装饰、景观装饰等
2017-08-09 15:14:2116567

FPGA流水灯的设计流程

完成项目需求分析、电路图分析以及方案设计后,接下来可以进行FPGA设计了。如果用户的计算机已安装云源软件GOWIN FPGA Designer,双击桌面上的程序图标,即可打开GOWIN FPGA
2022-10-13 14:36:001107

树莓派4 ubuntu core启动时间并记ubuntu系统安装流水账

手头的某个设计需要拿树莓派4的ubuntu core启动时间做个对比。原来的SD卡坏了,只好重新安装,记个流水账
2023-11-09 11:07:59409

基于FPGA的音乐流水灯控制系统设计

介绍一种基于 FPGA的音乐流水灯控制器, 采用硬件描述语言对其进行描述, 分别实现乐曲的播放和同步流水灯的闪烁。并构建一个 SOPC系统, 集成 LCD模块来显示实时音乐的音阶值和频率强度
2011-10-20 17:21:294128

清算Xilinx与Altera“流水账”,不只是角逐战?

电子发烧友网讯【编译/Triquinne 】: 在PLD行业,大家耳熟能详的战役要数Xilinx和Altera之间的瓜葛纠缠了【 具体可参考电子发烧友网原创文章: FPGA双雄针锋相对,Xilinx与Altera霸主之争
2012-10-15 16:13:293523

高带宽+联网当道,狙击FPGA双雄

在PLD应用领域,真正值得称道的FPGA后起之秀并不多,但作为FPGA新秀的Achronix公司近期以凌厉的市场攻势,吸引了众多科技专业媒体的关注。可以说,FPGA市场又加入了一员具备竞争力的悍将
2013-03-05 11:10:552448

ASIC拉警报 FPGA双雄插旗通信市场

“ASIC将会被大幅削减!”当身处前沿阵地的通信系统供应商刚得到该消息,FPGA厂商已然制定策略,纷纷囤积库存,瞄准通信系统核市场商机。
2013-03-12 15:52:413186

2013年上半年FPGA市场竞争分析:百舸争流 问FPGA市场谁主沉...

月份之前的霸主战争夺赛详情请关注【霸主战策略细数FPGA双雄流水账】  5月之后的战况,电子发烧友网小编为您一一播报,或许你也能从中看出些许端倪。  1. 工艺制程之战:从28nm转战更低先进
2013-08-22 14:46:48

FPGA流水灯实验

FPGA初级实验 verilog let's start (流水灯实验)module countpro(sys_clk,rst_n,led); //接口定义input sys_clk,rst_n
2012-02-08 16:28:30

FPGA中的流水线设计

`流水线设计前言:本文从四部分对流水线设计进行分析,具体如下:第一部分什么是流水线第二部分什么时候用流水线设计第三部分使用流水线的优缺点第四部分流水线加法器举例第一 什么是流水线流水线设计就是将组合
2020-10-26 14:38:12

FPGA厂商细数

` 随着可编程逻辑器件应用的日益广泛,许多IC制造厂家涉足PLD/FPGA领域。目前世界上有十几家生产CPLD/FPGA的公司,最大的三家是:ALTERA,XILINX,Lattice,其中
2012-02-09 14:21:32

FPGA异步时钟设计中的同步策略

摘要:FPGA异步时钟设计中如何避免亚稳态的产生是一个必须考虑的问题。本文介绍了FPGA异步时钟设计中容易产生的亚稳态现象及其可能造成的危害,同时根据实践经验给出了解决这些问题的几种同步策略。关键词
2009-04-21 16:52:37

FPGA至简设计法为什么这么简单

看是如何实现的吧。一、 知识点集中化。(实例实证28原则)二、 填空式的设计过程。通常,我们通过敲代码实现程序功能类似于记流水账,一行一行一页一页的向下写。在这个过程中,难免遇到设计无思路、代码冗长重复
2017-12-15 15:10:57

FPGA设计应用及优化策略有哪些?

EDA技术具有什么特征?FPGA是什么原理?FPGA设计应用及优化策略基于VHDL的FPGA系统行为级设计
2021-04-15 06:33:58

FPGA零基础学习:LED流水灯设计

本系列将带来FPGA的系统性学习,从最基本的数字电路基础开始,最详细操作步骤,最直白的言语描述,手把手的“傻瓜式”讲解,让电子、信息、通信类专业学生、初入职场小白及打算进阶提升的职业开发者都可以有
2023-03-07 16:39:52

Altium-正规实战教程(珍藏版)

Altium-正规实战教程(珍藏版)看了太多太多的EDA书籍,几乎都是蜻蜓点水、流水账式的介绍软件操作界面说明,深入一点也仅是描述某某功能可以做什么以及怎么操作而已;共同点就是读者看完整本书,本来会
2015-07-09 10:20:47

Amazon和Microsoft的FPGA策略是什么?

人工智能大热之前,Cloud或Data Center已经开始使用FPGA做各种加速了。而随着Deep Learning的爆发,这种需求越来越强劲。本文主要讨论Cloud巨头Amazon和Microsoft的FPGA策略
2019-08-13 08:37:24

DM9000初始化的代码

。又到工程目录下去搜索涉及的头文件,才发现有两个名称相同的头文件init.h,原来后面添加的代码有与之前重名的文件,导致编译器在编译时寻找头文件时,因为有两个相同名称的头文件,使得编译出错。后面修改就简单了,把其中一个头文件名称修改掉,不重名就可以编译通过啦。第一次写博客,有点记流水账的感觉,后面
2021-11-30 06:58:26

NuTiny-SDK-N76E003究竟长什么样子

第一个问题,究竟要玩哪一个芯?今年真是变幻莫测的一年,芯片的真是流水账的价格,一天一个样,翻翻自己的抽屉,一堆的板卡,不知玩弄哪一个,一直想玩弄一下新塘的片子,可惜论坛没怎么做过新塘的开发板评测活动
2021-07-21 08:49:01

U-BOOT移植过程流水账

U-BOOT移植过程流水账1,修改MAKEFILE增加开发板编译定义at91sam9260ek_config : unconfig @./mkconfig $(@:_config=) arm
2011-11-29 14:21:42

Xilinx FPGA入门连载19:流水灯实例

`Xilinx FPGA入门连载19:流水灯实例特权同学,版权所有配套例程和更多资料下载链接:http://pan.baidu.com/s/1jGjAhEm 1 功能简介如图所示,在SF-SP6
2015-10-31 12:15:44

Xilinx FPGA入门连载22:经典模式流水灯实验

`Xilinx FPGA入门连载22:经典模式流水灯实验特权同学,版权所有配套例程和更多资料下载链接:http://pan.baidu.com/s/1jGjAhEm 1 功能简介本实例使用一个拨码
2015-11-06 13:48:22

xilinx软件与信号处理经理:大型设计中FPGA的多时钟设计策略

利用 FPGA 实现大型设计时,可能需要FPGA 具有以多个时钟运行的多重数据通路,这种多时钟 FPGA 设计必须特别小心,需要注意最大时钟速率、抖动、最大时钟数、异步时钟设计和时钟/数据关系
2012-03-05 14:42:09

FPGA DEMO】Lab 1:经典的流水灯设计

项目名称:流水灯。具体要求:复位时,所有灯全灭。打开开关SW1时,所有灯做流水动作。系统设计:硬件介绍:开发板上有9个LED,包含 1 路 FPGA_DONE 信号指示灯,1 路3.3V 电源指示灯
2021-07-30 11:04:26

【AWorks试用体验】搭建嵌入式Linux开发环境流水账

` 本帖最后由 suyong_yq 于 2015-8-20 00:20 编辑 【在PC上安装Linux虚拟机】【在PC和虚拟Linux主机之间建立数据通道并上传交叉编译器】【登录开发板并配置网络连接】【通过 NFS 建立虚拟Linux主机同开发板之间的数据通信】【编写并运行第一个程序HelloWorld】------------------------------------------------------------------------------------【在PC上安装Linux虚拟机】1. VirtualBox2. Ubuntu 12.04 LTS3. 配置虚拟Linux网络类型为NAT,在系统内设定固定IP为192.168.2.105【在PC和虚拟Linux主机之间建立数据通道并上传交叉编译器】使用sftp实现网络文件共享1. 首先在虚拟Linux主机上安装ssh server[tr=inherit]sudo apt-get install ssh[/tr]2. 在PC上安装SFTP要去下载一个 winSCP,项目主页链接:http://winscp.net/eng/index.phpFigure_01在PC上通过WinSCP登录虚拟Linux主机。Figure_023. 上传交叉编译器在虚拟Linux的/home/andrew/下创建目录“fsl_imx283”作为开发根目录,专门用于存放imx283开发资料及代码。Figure_034. 解压压缩包在虚拟Linux主机上切换当前目录至开发根目录。解压刚刚从PC上传过来的压缩包。[tr=inherit]tar xvf gcc-4.4.4-glibc-2.11.1-multilib-1.0_EasyARM-iMX283.tar.bz2[/tr]压缩包里的文件不少,所以要稍微等一下。解压之后可以看到解压出来的“gcc-4.4.4-glibc-2.11.1-multilib-1.0”文件夹下只有一个“arm-fsl-linux-gnueabi”目录,把这个目录提出来到开发根目录下。[tr=inherit]mv -f gcc-4.4.4-glibc-2.11.1-multilib-1.0/arm-fsl-linux-gnueabi/ .rm -rf gcc-4.4.4-glibc-2.11.1-multilib-1.0[/tr]5. 接下来把这个工具链添加到环境变量.在当前用户(andrew)下,编辑 .bashrc 文件[tr=inherit]nano ~/.bashrc[/tr]在文件的最最后一行添加:[tr=inherit]export PATH=$PATH:/home/andrew/fsl_imx283/arm-fsl-linux-guneabi/bin[/tr]使用以下命令,使新的环境变量 立即生效[tr=inherit]source ~/.bashrc [/tr]打印环境变量,看看新添加的变量是否生效了[tr=inherit]echo $PATH[/tr]Figure_04查看 arm-fsl-linux-gnueabi-gcc 的版本. 如有有输出即表示安装成功.[tr=inherit]arm-fsl-linux-gnueabi-gcc -v[/tr]Figure_05【登录开发板并配置网络连接】1. 在PC机通过串口终端登录开发板使用一个USB转TTL串口的小电路板将PC同开发板的串口连接起来。 串口波特率:115200 登录用户名:root 登录密码:root登录成功!Figure_062. 设置固定IP在"/etc/rc.d/init.d/start_userapp"文件中添加配置静态IP语句[tr=inherit]ifconfig eth0 192.168.12.120[/tr]3. 尝试使用ssh通信登录开发板1) 在PC上使用ssh通信登录a. 在Tera Term的终端创建新的连接:Figure_07b. 首次登录需要添加“指纹”:Figure_08c. 在对话框中输入登录用户名和密码:Figure_09登录成功:Figure_102) 在Linux主机登录开发板系统在Terminal中使用ssh命令登录:[tr=inherit]ssh root@192.168.2.120[/tr]然后就是输入密码“root”。登录成功:Figure_11【通过 NFS 建立虚拟Linux主机同开发板之间的数据通信】1. 在虚拟机Linux中安装nfs-kernel-server[tr=inherit]sudo apt-get install nfs-kernel-server[/tr]2. 编辑配置文件,指定共享目录。这里共享整个“/home”,以后再增加新用户就不需要再配置NFS服务了。[tr=inherit]sudo nano /etc/exports[/tr]Figure_123. 重启服务,使新配置生效[tr=inherit]sudo /etc/init.d/nfs-kernel-server restart[/tr]4. 在开发板上挂载 NFS这里在虚拟Linux主机登录开发板的ssh终端中操作开发板[tr=inherit]mount 192.168.2.105:/home /mnt -o nolock[/tr]Figure_13进入开发板的/mnt 目录, 发现Linux主机中的 /home 目录的所有文件都挂载到开发板上了Figure_14【编写并运行第一个程序HelloWorld】1. 在Linux主机上创建源文件“HelloWorld.c”在开发根目录下创建“code”子目录,并在其中创建“HelloWorld.c”源代码文件。[tr=inherit]mkdir codenano HelloWorld.c[/tr]Figure_152. 然后在Linux主机上使用交叉编译器编译源文件[tr=inherit]arm-fsl-linux-gnueabi-gcc HelloWorld.c -o HelloWorld[/tr]编译成功,产生 HelloWorld文件Figure_163. 在开发板上运行HelloWorld切回到登录开发板的终端,由于建立了NFS映射,在开发板的文件系统中也能看到生成的HelloWorld可执行文件。运行HelloWorld[tr=inherit]./HelloWorld[/tr]Figure_17至此,编程环境搞定,准备下一步应用程序的开发。网页排版系统还是搞得不太理想。若是想要更好的阅读体验,可以戳进我的笔记分享页面,http://note.youdao.com/share/?id=d82b738bbf6dc30636075d8eaaa903c8&type=note`
2015-08-20 00:15:17

【Runber FPGA开发板】配套视频教程——LED流水灯实验

本视频是Runber FPGA开发板的配套视频课程,主要通过LED流水灯实验来介绍如何利用计数器按一定的时钟频率进行计时和数据位拼接操作,实现LED流水灯每0.5秒状态跳转一次,帮助用户快速掌握
2021-04-12 18:28:35

【创龙C66x开发板试用体验】2.仿真器程序的加载与烧写

本帖最后由 china_he 于 2017-1-5 16:32 编辑 今天给板子上电,首先看了一下“TMS320C6678开发板开箱即用”这篇文档,依然是创龙的“流水账”风格。首先试了一下
2016-12-26 19:57:40

【雨的FPGA笔记】基础实践-------流水灯设计和实现

本帖最后由 拾光333 于 2020-1-11 12:14 编辑 设计内容 将4个LED每隔1s从左往右分别依次点亮熄灭,形成流水状。按复位键时进行复位,重新开始流水。流程 FPGA的时钟频率
2020-01-11 11:07:59

关于fpga流水线的理解

如何理解fpga流水线
2015-08-15 11:43:23

关于fpga的PID实现中,时钟和流水线的相关问题

前段时间发了个关于fpga的PID实现的帖子,有个人说“整个算法过程说直白点就是公式的硬件实现,用到了altera提供的IP核,整个的设计要注意的时钟的选取,流水线的应用”,本人水平有限,想请教一下其中时钟的选取和流水线的设计应该怎么去做,需要注意些什么,请大家指导一下。
2015-01-11 10:56:59

勇敢的芯伴你玩转Altera FPGA连载21:蜂鸣器、数码管、流水灯、拨码开关电路

`勇敢的芯伴你玩转Altera FPGA连载21:蜂鸣器、数码管、流水灯、拨码开关电路特权同学,版权所有配套例程和更多资料下载链接:http://pan.baidu.com/s/1i5LMUUD
2017-11-17 19:12:26

各位大侠,有用FPGA做过旋解码芯片算法的吗?

各位大侠,有用FPGA做过旋解码芯片算法的吗?
2014-03-22 08:23:16

基于FPGA Vivado的流水灯样例设计资料分享

流水灯样例】基于 FPGA Vivado 的数字钟设计前言模拟前言Vivado 设计流程指导手册——2013.4密码:5txi模拟
2022-02-07 08:02:04

基于FPGA的DSP系统设计中的流水线技术主要应用在哪些方面?

以降低系统速度为代价。从FPGA发展趋势和DSP运算要求看,系统速度指标的意义比面积指标更趋重要,需要我们进一步深入研究提高芯片的最高工作速度的设计策略。我们需要讨论一下基于FPGA的DSP系统设计中的流水线技术主要应用在哪些方面?
2019-08-02 06:03:48

基于FPGA的三相电流型PWM整流器过调制策略的研究

基于FPGA的三相电流型PWM整流器过调制策略的研究
2017-09-30 09:17:14

基于FPGA的移位寄存器流水线结构FFT处理器

基于FPGA的移位寄存器流水线结构FFT处理器
2012-08-18 00:04:21

基于FPGA的音乐流水灯控制系统设计

本帖最后由 eehome 于 2013-1-5 10:02 编辑 基于FPGA的音乐流水灯控制系统设计
2012-08-19 23:22:53

基于MSP430和FPGA的风光逆并网系统该怎么设计?

为了缓解能源问题,在完全兼容现有供电系统的基础上,该系统采用风能和太阳能对电能进行补给的方法,并且附带快速检测孤岛效应,快速并网和断网的功能。系统的功率电路部分采用全桥拓扑进行逆,数字控制系统采用
2019-09-24 06:43:06

基于Verilog FPGA 流水灯设计_流水灯源码_明德扬资料

LED流水广告灯工程说明在本案例中,使用常用的verilog语言完成该程序,设计并控制8个灯的花式或循环点亮;即上电后,实现左移和右移交替的流水灯。案例补充说明在FPGA电路设计中,尽管流水
2017-08-02 17:56:09

基于电机驱动CML-2.00程序编写(控制台)实现功能

工作记录流水账1.机器人电机驱动器安装接线。2.CML2.00开发包继续研究:电机驱动器底层API。3.基于电机驱动CML-2.00程序编写(控制台)实现功能:多驱动器串联同时控制速度角度控制连续运行4.MFC界面实现功能:多驱动器串联同时控制速度角度控制连续运行第一版:运动控制系统...
2021-09-13 06:27:55

大型设计中FPGA的多时钟设计策略

本帖最后由 mingzhezhang 于 2012-5-23 20:05 编辑 大型设计中FPGA的多时钟设计策略 利用FPGA实现大型设计时,可能需要FPGA具有以多个时钟运行的多重
2012-05-23 19:59:34

如何上手FPGA实现简单的流水灯效果

配置选择上篇【FPGA实验】流水灯实验记录了如何上手FPGA,实现简单的流水灯效果,本篇将稍微升级一些,通过按钮实现多种形态的流水灯。此次仍使用正点原子的开拓者FPGA开发板,配置和上篇一致。功能
2022-01-18 10:28:27

微信绑定积分没有到

微信绑定积分没有到啊,有获得记录
2017-01-11 14:40:27

未来机房霸主,工程商,采购商都看好它!

` 本帖最后由 迈拓维矩 于 2018-4-10 17:10 编辑 未来机房霸主,工程商,采购商必备。【 一人轻松上机架,无需工具来锁紧,全程仅需一双手,不到十秒能搞定。】迈拓维矩新款可拆卸式MT-1708UL已开始火热预售。欢迎致电迈拓维矩了解相关详情!!!迈拓维矩新款MT-1708UL`
2018-04-10 17:08:15

请问一下高速流水线浮点加法器的FPGA怎么实现?

请问一下高速流水线浮点加法器的FPGA怎么实现?
2021-05-07 06:44:26

高带宽 + 联网当道,谁来狙击FPGA双雄

与测量和高性能计算领域的存在及其竞争力,强力威胁着FPGA双雄--Xilinx和Altera在该领域的龙头地位。Achronix公司总裁兼首席执行官Robert Blake  未来的嵌入式系统将需要
2013-05-07 15:05:03

高性能永磁交流伺服电机系统控制策略资料分享

永磁交流伺服电机模型是强耦合,时的非线性系统。优良的控制策略不但可以弥补硬件设计方面的不足,通过控制策略可以进一步提高系统的性能,不但使系统具有快速的动态响应和高的动、静态精度,而且系统要对参数
2021-06-28 06:44:48

FPGA重要设计思想及工程应用之流水线设

FPGA重要设计思想及工程应用之流水线设 流水线设计是高速电路设计中的一 个常用设计手段。如果某个设计的处理流程分为若干步骤,而且整个数据处理 流程分
2010-02-09 11:02:2052

#硬声创作季 FPGA技术应用:流水灯设计

fpga流水
Mr_haohao发布于 2022-10-19 17:23:48

#硬声创作季 数字设计FPGA应用:51.1流水灯的关键设计

fpga流水数字设计
Mr_haohao发布于 2022-10-24 03:10:25

#硬声创作季 数字设计FPGA应用:51.2流水灯工程实现与测试

fpga流水数字设计
Mr_haohao发布于 2022-10-24 03:11:14

大型设计中FPGA的多时钟设计策略

大型设计中FPGA的多时钟设计策略 利用FPGA实现大型设计时,可能需要FPGA具有以多个时钟运行的多重数据通路,这种多时钟FPGA设计必须特别小心,需要注意最大时钟速率
2009-12-27 13:28:04645

形势大好 晶圆双雄订单大增

形势大好 晶圆双雄订单大增  晶圆代工厂2010年第1季业绩可望超乎预期,原本业界预计晶圆双雄台积电、联电第1季业绩将出现些微衰退,但近期因
2010-01-20 10:19:44494

高速流水线浮点加法器的FPGA实现

高速流水线浮点加法器的FPGA实现 0  引言现代信号处理技术通常都需要进行大量高速浮点运算。由于浮点数系统操作比较复杂,需要专用硬件来完成相关的操
2010-02-04 10:50:232042

FPGA芯片选择策略和原则

FPGA芯片选择策略和原则 由于FPGA具备设计灵活、可以重复编程的优点,因此在电子产品设计领域得到了越来越广泛的应用。在工程项目或者产品设计
2010-02-09 09:13:293080

#硬声创作季 #FPGA FPGA2-09 FPGA设计实用技巧-乒乓操作和流水线-1

fpgaFPGA设计FPG流水线
水管工发布于 2022-10-29 19:23:08

#硬声创作季 #FPGA FPGA2-09 FPGA设计实用技巧-乒乓操作和流水线-2

fpgaFPGA设计FPG流水线
水管工发布于 2022-10-29 19:23:40

根据FPGA的∑-Δ D/A转换器的设计与实现策略

根据FPGA的∑-Δ D/A转换器的设计与实现策略摘要:数模转换器可以将一个二进制数字量转换成与该数字量成正比的电压值,可应用于可
2010-04-21 15:45:511251

FIR数字滤波器的FPGA实现研究策略

FIR数字滤波器的FPGA实现研究策略  如今,FPGA已成为数字信号处理系统的核心器件,尤其在数字通信、网络、视频和图像处理等领域。现在的FPGA不仅包含查找表、寄存
2010-04-23 14:13:221077

晶圆双雄2010年资本支出大手笔扩增

晶圆双雄2010年资本支出大手笔扩增     2010年一开春台积电、联电法说成外界关注焦点,晶圆双雄对于2010年景气的论调与资
2010-01-11 09:36:51746

基于FPGA的高速高阶流水线工作FFT设计

为了提高快速傅里叶变换( FFT)处理数据的实时性,本文利用现场可编程阵列( FPGA)逻辑资源丰富、运算速度快的特点以及FFT算法的分级特性,实现了高速、高阶FFT的流水线工作方式设计。通
2011-10-01 01:52:5155

高速高阶FPGA流水线工作FFT设计

为了提高快速傅里叶变换( FFT)处理数据的实时性,本文利用现场可编程阵列( FPGA)逻辑资源丰富、运算速度快的特点以及FFT算法的分级特性,实现了高速、高阶FFT的流水线工作方式设计。通
2011-10-28 17:11:2632

赛灵思 vs 阿尔特拉:FPGA双雄激战TSV技术

FPGA具有产品设计者可以自行修改其内部逻辑的优点。作为开发费用持续上涨的ASIC和ASSP的替代品,越来越多的电子产品开始配备FPGAFPGA竞争力的源泉来自于半导体制造技术的微细化。
2012-03-31 09:55:493539

20纳米(nm)FPGA :如箭在弦

电子发烧友网讯 :台积电28nm良率大幅提升的利好还没被市场彻底消化, FPGA业界双雄 已争先恐后地发布20nm FPGA战略,在性能、功耗、集成度等方面均大幅跃升,蚕食ASIC之势将愈演愈烈
2012-11-30 11:51:231865

我与赛灵思FPGA的故事”:一个普通的Xilinx开发者的故事

这篇博客不谈技术,就讲讲一个普通的Xilinx开发者的故事。当年写作文的水平就很差,流水账一篇,不喜请喷:-D 今年距离世界上第一片FPGA:XC2064的产生,已经整整过去了三十年,距离我接触
2017-02-09 08:26:07263

采用流水线进行FPGA VI吞吐量优化设计

流水线是一种可用于增强FPGA VI吞吐量的技术。在流水线设计中,用户可利用FPGA的并行处理功能提高顺序代码的有效性。如要实现流水线,必须将代码拆分为不同的级并连线每级的输入和输出端至循环中的反馈
2017-11-18 06:02:013248

利用FPGA DIY开发板控制流水灯功能实现

asean的 FPGA DIY 流水灯视频
2018-06-20 05:04:002725

采用FPGA DIY 开发板实现8个流水灯向左移功能

FPGA diy作业实现8位LED输出向左的流水灯。
2018-06-20 08:26:004998

如何利用FPGA设计一个跨时钟域的同步策略

基于FPGA的数字系统设计中大都推荐采用同步时序的设计,也就是单时钟系统。但是实际的工程中,纯粹单时钟系统设计的情况很少,特别是设计模块与外围芯片的通信中,跨时钟域的情况经常不可避免。如果对跨时钟
2018-09-01 08:29:215302

FPGA设计中层次结构设计和复位策略影响着FPGA的时序

FPGA设计中,层次结构设计和复位策略影响着FPGA的时序。在高速设计时,合理的层次结构设计与正确的复位策略可以优化时序,提高运行频率。
2019-02-15 15:15:53849

使用FPGA开发板进行奇偶流水灯的详细资料说明

本文档的主要内容详细介绍的是使用FPGA开发板进行奇偶流水灯的详细资料说明。
2019-04-28 08:00:003

FPGA流水线练习(3):设计思路

流水线的平面设计应当保证零件的运输路线最短,生产工人操作方便,辅助服务部门工作便利,最有效地利用生产面积,并考虑流水线安装之间的相互衔接。为满足这些要求,在流水线平面布置时应考虑流水线的形式、流水线安装工作地的排列方法等问题。
2019-11-28 07:07:002039

FPGA之为什么要进行流水线的设计

流水线又称为装配线,一种工业上的生产方式,指每一个生产单位只专注处理某一个片段的工作。以提高工作效率及产量;按照流水线的输送方式大体可以分为:皮带流水装配线、板链线、倍速链、插件线、网带线、悬挂线及滚筒流水线这七类流水线。
2019-11-28 07:04:003232

数字设计FPGA应用:流水灯的设计

LED流水灯这篇采用最简单的就是点亮一个灯延时一定时间,然后关闭,接下去点亮下一个灯,依次类推形成流水灯的效果
2019-12-04 07:09:003326

使用FPGA实现流水灯的详细资料说明

本文档的主要内容详细介绍的是使用FPGA实现流水灯的详细资料说明。流水灯模块对于发展商而言,动土仪式无疑是最重要的任务。为此,流水灯实验作为低级建模II的动土仪式再适合不过了。废话少说,我们还是开始实验吧。
2019-07-11 16:45:0927

FPGA做图像处理关键优势是:能进行实时流水线运算

本人有过多年用FPGA做图像处理的经验,在此也谈一下自己的看法。用FPGA做图像处理最关键的一点优势就是:FPGA能进行实时流水线运算,能达到最高的实时性。因此在一些对实时性要求非常高的应用领域
2019-07-19 09:47:078080

使用XIlinx的FPGA芯片开发的流水灯实验工程文件免费下载

本文档的主要内容详细介绍的是使用XIlinx的FPGA芯片开发的流水灯实验工程文件免费下载,可为初学者展示FPGA工作的基本过程。
2019-07-31 08:00:004

使用FPGA实现大型设计时的设计策略详细说明

利用 FPGA 实现大型设计时,可能需要FPGA 具有以多个时钟运行的多重数据通路,这种多时钟FPGA 设计必须特别小心,需要注意最大时钟速率、抖动、最大时钟数、异步时钟设计和时钟/数据关系。设计过程中最重要的一步是确定要用多少个不同的时钟,以及如何进行布线,本文将对这些设计策略深入阐述。
2021-01-13 17:00:0011

大型设计中FPGA的多时钟设计策略详细说明

利用 FPGA 实现大型设计时,可能需要FPGA 具有以多个时钟运行的多重数据通路,这种多时钟FPGA 设计必须特别小心,需要注意最大时钟速率、抖动、最大时钟数、异步时钟设计和时钟/数据关系。设计过程中最重要的一步是确定要用多少个不同的时钟,以及如何进行布线,本文将对这些设计策略深入阐述。
2021-01-15 15:57:0014

使用FPGA实现流水灯设计的资料合集

本文档的主要内容详细介绍的是使用FPGA实现流水灯设计的资料合集免费下载。
2021-01-18 08:00:0030

详解基于FPGA的数字电路对流水灯的实验

流水灯,有时候也叫跑马灯,是一个简单、有趣又经典的实验,基本所有单片机的玩家们在初期学习的阶段都做过。本次我们也来介绍一下如何通过小脚丫FPGA实现一个流水灯。
2021-03-19 16:36:284066

FPGA的选型策略有哪些?

引言:FPGA作为数字电路三大基石之一,其选型的好坏决定了产品的成本、项目研发效率、产品上市时间、产品生命周期等诸多方面。FPGA选型策略可以分为FPGA厂商选择、FPGA器件家族选择、器件型号选择
2021-03-22 09:25:186517

如何使用FPGA实现可选主元LU分解流水线算法的设计

提出了一种可以进行列主元选取的细粒度LU分解流水线算法并在现场编程门阵列(FPGA)上得到了实现。该算法可以在进行列主元选取的同时,充分利用数据的重用性,以减少数据读写次数。对其中的关键运算实现
2021-03-31 09:24:1611

如何通过FPGA实现一个流水灯?

流水灯,有时候也叫跑马灯,是一个简单、有趣又经典的实验,基本所有单片机的玩家们在初期学习的阶段都做过。本次我们也来介绍一下如何通过小脚丫FPGA实现一个流水灯。
2021-06-06 10:42:287565

FPGA Vivado】基于 FPGA Vivado 的流水灯样例设计

流水灯样例】基于 FPGA Vivado 的数字钟设计前言模拟前言Vivado 设计流程指导手册——2013.4密码:5txi模拟
2021-12-04 13:21:0826

FPGA流水线的原因和方式

本文解释了流水线及其对 FPGA 的影响,即延迟、吞吐量、工作频率的变化和资源利用率。
2022-05-07 16:51:104734

HME FPGA入门指导:HME-P(飞马)系列开发板实验教程——LED流水

熟悉 HME FPGA PLL IP 的使用,实现 LED 流水灯功能。
2023-05-30 10:04:21843

基于FPGA流水灯设计

依次点亮4个LED灯,实现流水灯的效果,两灯之间点亮间隔为0.5s,LED灯一次点亮持续时间0.5s。
2023-06-23 16:54:001169

基于FPGA开发板流水灯的设计实现

流水灯,有时候也叫跑马灯,是一个简单、有趣又经典的实验,基本所有单片机的玩家们在初期学习的阶段都做过。本次我们也来介绍一下如何通过小脚丫FPGA实现一个流水灯。
2023-06-20 17:10:18866

基于流水线CORDIC算法通用数字调制器的FPGA实现方案

电子发烧友网站提供《基于流水线CORDIC算法通用数字调制器的FPGA实现方案.pdf》资料免费下载
2023-10-27 09:46:190

已全部加载完成