电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>今日头条>新手入门,最佳练手三脚架推荐

新手入门,最佳练手三脚架推荐

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

星际飞船驾驶舱模拟器、创意数字时钟、行空板智能骑行气象助手

想尝试圆形显示器和五向导航键,但在制作过程中他意识到相机的机身可以是模块化的,例如可以更换相机模块、前板或后板。这款相机体积较大,配有三脚架连接装置和大屏幕。目前,他已经完成了相机的制作,虽然在
2024-03-22 10:59:23

Bladed软件价绍分享

项目。对于初学者,Bladed的help菜单栏内内置了英文版的用户手册和原理手册,这些手册在使用Bladed的过程中非常重要。此外,Bladed软件内还自带两个机组模型,分别是2MW陆上风电机组 和5MW三脚架式海上风电机组 ,这些模型对于刚开始学习Bladed的用户来说非常有帮助。
2024-03-18 21:50:08

新手入门的简单小例子-04-2 建模实现

如下图: 图1 对应的时钟与BPS的关系以及发送的逻辑 图2 对应的数据与BPS的对应关系 图3要求的循环发送以及时间 对应的具体代码段如下: module UART_transmit( input wire clk, input wire rst_n, input wire [7:0] data,//外部输入需要发送的数据 output reg uart_tx, output reg led ); parameter CNT_1S_MAX= 26\'d50_000_000 - 1; parameter BPS_CNT_MAX = 16\'d5208 - 1; //波特率的周期 reg [25:0] counter1; reguart_state; // 0 busy 1 free reg [3:0]bit_counter; reg [15:0] bps_counter; regbit_flag; reg [7:0]data_req; //对应的1S计数 always @(posedge clk or negedge rst_n) if( rst_n == 1\'b0 ) counter1 <= 26\'b0; else if( counter1 == CNT_1S_MAX ) counter1 <= 26\'b0; else counter1 <= counter1 + 1\'b1; //UART发送状态使能模块 always @(posedge clk or negedge rst_n) if( rst_n == 1\'b0 ) uart_state <= 1\'b0; else if( counter1 == CNT_1S_MAX || (bit_counter == 4\'d10 && bps_counter == BPS_CNT_MAX) ) uart_state <= ~uart_state; else uart_state <= uart_state; //锁定外部输入的发送数据 always @(posedge clk or negedge rst_n) if( rst_n == 1\'b0 ) data_req <= 8\'b0; else if( counter1 == CNT_1S_MAX ) data_req <= data; else data_req <= data_req; //生成波特率发送信号 always @(posedge clk or negedge rst_n) if( rst_n == 1\'b0 ) bps_counter <= 16\'b0; else if( uart_state == 1\'b1 )begin if ( bps_counter == BPS_CNT_MAX ) begin bps_counter <= 16\'b0; end else bps_counter <= bps_counter + 1\'b1; end else bps_counter <= 16\'b0; //单位数据发送的标志信号 always @(posedge clk or negedge rst_n) if( rst_n == 1\'b0 ) bit_flag <= 1\'b0; else if( bps_counter == 16\'d2603 ) bit_flag <= 1\'b1; else bit_flag <= 1\'b0; //bit计数 always @(posedge clk or negedge rst_n) if( rst_n == 1\'b0 ) bit_counter <= 4\'b0; else if( uart_state == 1\'b1 ) begin if( bit_flag == 1\'b1 ) bit_counter <= bit_counter + 1\'b1; else if( bit_counter == 4\'d10 && bps_counter == BPS_CNT_MAX ) bit_counter <= 4\'b0; end else bit_counter <= 4\'b0; //逐位发送数据 always @(posedge clk or negedge rst_n)begin if( rst_n == 1\'b0 )begin uart_tx <= 1\'b1; end else begin case( bit_counter ) 0 : uart_tx <= 1\'b1; 1 : uart_tx <= 1\'b0; 2 : uart_tx <= data_req[0]; 3 : uart_tx <= data_req[1]; 4 : uart_tx <= data_req[2]; 5 : uart_tx <= data_req[3]; 6 : uart_tx <= data_req[4]; 7 : uart_tx <= data_req[5]; 8 : uart_tx <= data_req[6]; 9 : uart_tx <= data_req[7]; 10: uart_tx <= 1\'b1; default : uart_tx <= 1\'b1; endcase end end //变更LED状态 always @(posedge clk or negedge rst_n) if( rst_n == 1\'b0 ) led <= 1\'b0; else if( bit_counter == 4\'d10 && bps_counter == BPS_CNT_MAX ) led <= ~led; else led <= led; endmodule 对应的测试用的code,如下: `timescale 1ns/1ns module tb_UART_transmit(); //****************** Parameter and Internal Signal *******************// //wire define wireled; wireuart_tx; //reg define reg clk; reg rst_n; reg [7:0] data; //***************************** Main Code ****************************// initial begin rst_n <= 1\'b0; data<= 8\'b1010_1010; #201 rst_n <= 1\'b1; #300_000_00; data<= 8\'b0101_0101; #300_000_00; $stop; end // creator clk initial clk = 1\'b1; always #10 clk <= ~clk; defparam UART_transmit_inst.CNT_1S_MAX= 26\'d500_000 - 1; //*************************** Instantiation **************************// UART_transmitUART_transmit_inst ( .clk ( clk), .rst_n( rst_n), .data( data ), .led ( led ), .uart_tx ( uart_tx ) ); endmodule 对应的仿真图,如下:
2024-03-17 14:29:13

FPGA与MCU的区别入门难度如何

如题,目前我的MCU入门了,请问FPGA的入门如何,需要怎么样去学习?
2024-03-16 07:16:14

星际飞船驾驶舱模拟器、创意数字时钟、行空板智能骑行气象助手|DF创客周刊(第75期)

相机模块、前板或后板。这款相机体积较大,配有三脚架连接装置和大屏幕。 目前,他已经完成了相机的制作,虽然在使用热熔胶的过程中遇到了一些外观问题,但相机的功能都正常。Jacob计划进一步开发软件,使其
2024-03-15 11:46:33

新手入门的简单小例子-04-1 思路分析

串口作为常用的大低速总线(UART、 SPI、 IIC)之一,在设计众多通信接口和调试时占有重要地位。但UART 和 SPI、 IIC 不同的是,它是异步通信接口,异步通信中的接收方并不知道数据
2024-03-12 15:53:16

Bladed软件介绍

项目。对于初学者,Bladed的help菜单栏内内置了英文版的用户手册和原理手册,这些手册在使用Bladed的过程中非常重要。此外,Bladed软件内还自带两个机组模型,分别是2MW陆上风电机组 和5MW三脚架式海上风电机组 ,这些模型对于刚开始学习Bladed的用户来说非常有帮助。
2024-03-03 21:36:13

【开源项目】自制一个创客专属的无反相机

的OV2640/OV3660/OV5640相机(最好是稍微长一点的FPC) M12变焦镜头 四个12毫米M2平头螺丝 小型相机三脚架 什么是无反相机? 单反我们都听过,什么是无反? 无反相机的全名是无反光镜
2024-02-29 15:50:48

鸿蒙新手入门-环境准备问题解析

。 场景:可能是网络不通,需要配置代理。可采用以下两种操作进行配置: 方法1:点击提示中\"Click here\",进入代理配置界面,进行HTTP proxy配置; 方法2:修改
2024-02-18 17:44:14

经纬仪的使用方法和步骤 经纬仪的用途有哪些

的使用方法和步骤 准备工作 在使用经纬仪之前,需要准备好所需的材料和工具。包括一台经纬仪、一副双筒望远镜、一个三脚架、一个目镜和一个经纬仪台。 安装经纬仪 首先,将经纬仪台放在水平平面上,然后将三脚架的腿打开并放
2024-02-03 15:00:28433

#2024,为FPGA生态加油,为FPGA社区点赞#新手入门的简单小例子-03

新手入门的简单小例子第个,这几个例子主要给入门新手建立时间或者说时序的概念,最近看了不少的资料基本上都是以点灯为例,估计是大家对嵌入式开发和FPGA的普遍共识,这两天逛B站发现了也不少比较好
2024-02-02 15:33:04

#2024,为FPGA生态加油,为FPGA社区点赞#新手入门的简单小例子-02

新手入门的简单小例子第二个,这几个例子主要给入门新手建立时间或者说时序的概念,最近看了不少的资料基本上都是以点灯为例,估计是大家对嵌入式开发和FPGA的普遍共识,这两天逛B站发现了也不少比较好
2024-02-01 17:57:55

#2024,为FPGA生态加油,为FPGA社区点赞#新手入门的简单小例子-01

#2024,为FPGA生态加油,为FPGA社区点赞#新手入门的简单小例子,主要给入门新手建立时间或者说时序的概念,最近看了不少的资料基本上都是以点灯为例,估计是大家对嵌入式开发和FPGA的普遍共识
2024-02-01 16:05:56

红外接收管的两脚三脚有什么区别?红外接收头三脚怎么接?

红外接收管的两脚和三脚的区别主要在于引脚数量和工作原理。
2024-01-30 11:47:18940

ADIS16006带宽跟分辨率的关系是什么?

此时加速度计的分辨率是多少?带宽跟分辨率的关系是什么?此外带宽对采样速度有没有影响?这些是我在看手册的疑惑,新手入门,多谢各位大神指教。
2023-12-29 06:23:27

新手小白需要掌握的pcb设计基础知识

新手小白需要掌握的pcb设计基础知PCB
2023-12-25 10:12:44366

AD5420的SDO是否是态输出?

1、AD5420的SDO是否是态输出 2、MCU的SPI接口配置CPOL=0、CPAH=0,是否正确
2023-12-20 08:08:51

【概念产品CP130】M.2 NVMe SSD 转 CFexpress B 型硬盘转换盒

。 CP130 可以使用内置的 1/4" 快拆相机螺丝固定到相机、摄像机、三脚架或安装支架上。装置上额外的 1/4" 安装孔包括 1/4" 转
2023-12-18 16:06:36160

两脚晶振和三脚晶振的区别

今天小编与大家聊一聊两脚晶振和三脚晶振的基础知识点。敲黑板啦!
2023-12-13 09:17:37391

使用AD2S1210遇到的问题求解答

电复位,清故障寄存器等操作,是否影响? 5. 能够提供一份 1210芯片新手入门操作流程 之类的手册或说明,调试过程中故障交错,难以理清。
2023-12-12 06:40:53

鸿蒙原生应用/元服务开发-新手入门练习心得

1.先根据案例模仿代码(页面跳转案例) 点击next后跳转页面,点击back返回第一个页面 2.模块化层层拆解代码 先创建了row,一行,在这一行里面写代码: 内容都放到Column中 Text内置组件可以直接引用文本 this.message可能是引用 fontSize 和fontWeight都是设置文字格式的,后退一格可读性比较好 接下来创建Button按钮,上面的Text是按钮上显示的文字,后面两个也是文字格式。 下面的type暂时不知道什么意思,但是Capsule是压缩的意思,可能相关 margin:CSS的外边距,用来设置周围距离,top20就是离上面一个元素20的距离。 Backgroundcolor:背景色 Width:宽度 Height:高度 接下来是一个点击事件用onClick 成功就跳转到这个url 如果报错,就进行catch里的代 3.分析之后按照理解的自己简单的写一个页面跳转 根据事实动态previewer功能成功写出了跳转的代码 回顾代码的时候发现了一个不一样的用法 这里跳转回来不用写url,只需要router.back 4.思维拓展 跳转只能创建button吗?我可以像写网页超链接一样作为属性放到文字上吗?立刻试试 显示报错‘string’类型的参数不能赋值给‘routeoptions’类型 通过论坛搜索等发现不符合情况,开始自己找原因,怀疑是不是因为路径和下面的跳转是一样的所以才报错。 Router.back可以,但是具体的url还是不行 本文由蛟龙腾飞合作开发者郝映萱练习整理
2023-12-08 16:35:50

无缝切换,集移动和固定于一体的混合扫描仪-FARO Orbis 移动扫描仪

rbis 有力地体现创新和扩大服务市场,兼有 FARO 技术和 GeoSlam 和 HoloBuilder所带来的功能。具有移动扫描仪不具备的功能和基于三脚架的激光扫描仪的精度,为 3D 现实捕获
2023-12-06 10:47:00219

【LuckFox Pico开发板免费试用】新手入门教程(一)

Pico开发板上。 3、通过USB转TTL模块连接电脑 USB转TTL模块的RX端接Luckfox Pico开发板的1B2_D, 模块的TX端接Pico的1B3_U, 模块的GND端接Pico的GND
2023-11-29 21:36:04

脚架构芯片封装(LFCSP)设计与制造指南

电子发烧友网站提供《引脚架构芯片封装(LFCSP)设计与制造指南.pdf》资料免费下载
2023-11-24 15:18:010

AD598的4与5的作用是什么?

①AD598的4与5的作用是什么? ②什么外界情况会造成4和五损坏? ③我的电路中AD598的4和5中加了一个10K的电阻, 如果这个电阻虚焊了, 会产生什么影响? 这里拜谢各位前辈给与指导了!!!谢谢。
2023-11-17 09:51:01

新手站长如何选择云服务器?华为云耀云服务器 L 实例值得拥有

对于每个新手站长来说,新入入门都会经历的阶段,每一个新手站长都希望很快变成职场老鸟,实际上,缺乏的时间记得磨练是不可能成为网站运营的老鸟,需要努力经营和经验积累。对于新手站长来说,首先要有对于
2023-11-13 11:19:36179

谷景电子0608三脚工字电感在蜂鸣器中应用案例分享

项目案例背景三脚工字电感是一款非常常见的工字电感类型,它属于一种常规电感产品。三脚工字电感的生产技术非常成熟,在市场上的应用也颇为广泛。但即便是再成熟的产品,如果选择不对供应商也会出现品质问题。比如
2023-10-30 21:37:240

Android手机新手入门教程

电子发烧友网站提供《Android手机新手入门教程.doc》资料免费下载
2023-10-30 09:33:190

哪些错误PLC新手容易犯?

PLC新手在使用和编程PLC时容易犯以下一些常见错误: (1)电气接线错误:PLC的输入和输出需要正确地与外部设备进行连接。新手可能会犯接线错误,例如接错线圈端子、断开或短路电线等。这可能导致PLC
2023-10-11 17:10:01348

硬件设计新手入门宝典

R201 是电阻的编号。一份复杂的电路图中会用到很多的电阻电容等元件,设计电路图的软件在最后编译的时候会给元器件编号,这样在调试的时候就可以很容易找到相关元件。- 49.9ohm 是电阻的阻值。1%是电阻的精度,表示该电阻的阻值控制在 49.9 *(1+/- 1%)范围内,也就是49.401~50.399ohm 之间。1%精度的电阻一般用在精确控制的场合,比如通过电阻分压产生精确参考电压的情况一般用 1%精度的。非精确控制的场合一般用低精度比如5%精度的电阻。1%精度的电阻成本上要远高于 5%精度的电阻。- R0402 表示电阻的封装尺寸型号。在高速电路板设计中,常用的是贴片焊接的电阻。电阻的封装型号表示不同尺寸大小,其对应关系如下图所示。常用的封装型号有0402,0603,0805 等等。
2023-09-27 06:26:07

PID刚入门新手必看的15个PID基本概念!

PID调节系统PID功能由PID调节器或DCS系统内部功能程序模块实现,了解与PID调节相关的一些基本概念,有助于PID入门新手快速熟悉调节器应用,在自动调节系统中成功整定PID参数。 本文介绍必须
2023-09-25 19:40:01515

快速入门北斗号短报文的应用和开发

最近华为手机MATE60系列开始使用卫星通信,我们将资料整理出来,方便大家入门。 *附件:北斗短报文天线规格书_V31.pdf *附件:北斗号短报文模块RD05W3035G3模块详细设计规格书
2023-09-16 19:46:52

贴片三脚电感的电感量越大越好吗

贴片三脚电感的电感量越大越好吗 编辑:谷景电子 在电感产品中,贴片三脚电感是一个特别重要的电子元器件。我们在做贴片三脚电感选型的时候,电感量是一个重要的信息参考数据。贴片三脚电感的电感量大小会影响到
2023-09-07 19:49:00392

肖特基二极管的作用 三脚肖特基二极管的作用

肖特基二极管的作用 三脚肖特基二极管的作用 肖特基二极管常见型号与作用  肖特基二极管是一种半导体器件,利用P型半导体和N型半导体之间的PN结形成的二极管性质进行电子的输送,是一种具有优良高频特性
2023-08-31 17:29:571309

第14期 06电子:三脚拨动开关、一灯双控电路(上)

led电路元器件电子技术
学习电子知识发布于 2023-08-28 20:40:15

NuEdu-SDK-M451新手如何入门

本人新手一个,仅有C语言基础。现手上有一套NuEdu-SDK-M451,但不知如何学习,请高手指点,谢谢!
2023-08-28 07:39:12

虚幻引擎的纹理最佳实践

纹理是游戏不可或缺的一部分。 这是一个艺术家可以直接控制的领域,以提高游戏的性能。 本最佳实践指南介绍了几种纹理优化,这些优化可以帮助您的游戏运行得更流畅、看起来更好。 最佳实践系列指南的总体目标
2023-08-28 06:39:47

硬件设计新手入门宝典之第一部

2023-08-25 11:07:152

Altium Designer用户手册

介绍了基础操作,供新手入门
2023-08-24 16:28:304

ARM CORTEX-A5设计入门指南

入门指南》介绍了ARM®Cortex®-A5 DesignStart™产品的不同部分。 如果您是ARM IP新手或想要了解如何使用DesignStart作为创建自己的物联网(IoT)应用程序的起点,请阅读本指南。
2023-08-23 08:23:03

贴片三脚电感替代插件三脚电感应用案例分享

一、项目案例背景 插入式三针电感和片式三针电感是应用最广泛的两种电感产品,其特性有很大差异。在本文中,我们将分享一个案例,芯片三脚架电感取代插件三脚架电感。 我公司一个从事蜂鸣器产品生产加工的客户
2023-08-06 15:18:56548

自制手机电池充电

18650电池大小的接线盒,做出了一个充电组合,如下图所示: 上电测试充电,可以看到进入正常充电过程。其它类似的电池,也可以像这样制作专门的充电,实现废物再利用。
2023-08-02 10:44:29

谷景电子贴片三脚电感替代插件三脚电感应用案例分享

1、项目案例背景插件三脚电感与贴片三脚电感这两种电感产品都是应用比较广泛的,它们由于产品结构、生产制造工艺、制作原料等不同,因此在特性上也是有比较大的区别的。本篇要分享的是一个关于贴片三脚电感替代
2023-07-31 21:52:070

linux常用命令大全新手入门

cache cache直接用来记忆我们打开的文件,给文件做缓冲,我本机大概占用300多M(这里是Linux/Unix的聪明之处,把空闲的物理内存的一部分拿来做文件和目录的缓存,是为了提高 程序执行的性能,当程序使用内存时,buffer/cached会很快地被使用。)
2023-07-31 11:09:30344

新手入门分钟掌握数据采集卡接线全过程!#采集卡 #数据采集卡 #接线

接线数据采集
西安阿尔泰电子科技发展有限公司发布于 2023-07-26 13:29:25

LED摄影补光灯 直播补光灯 无极调光调色芯片

随着短视频行业的兴起,如何拍出高质量的作品成了很多人比较头疼的事情。 摄影是个技术活,技术和器材都很重要。正所谓,技术不够,器材来补。除去拍摄设备外,三脚架、闪光灯、补光灯等等都是比较常见的辅助器材,经常被人忽视,却能起到决定性作用。下面,小雅将带着大家一起来看看无频闪无抖动补光灯方案。
2023-07-21 09:22:47574

[e² studio] 瑞萨 RX660 入门套件快速入门指南

[e² studio] 瑞萨 RX660 入门套件快速入门指南
2023-07-03 19:34:460

谷景科普三脚贴片升压电感究竟有没有正负极

在电子电路中,三脚贴片升压电感是一种常见的元件。它具有体积小、重量轻、可靠性高等优点,广泛应用于各种电子设备中。然而,很多人对三脚贴片升压电感的正负电极还没有一个清晰的认识。本文将从三脚贴片升压
2023-06-29 23:31:50614

维扫描仪 移动桥坐标测量仪

坐标测量机是一种精密的测量仪器,配备高精度的导轨、测头和控制系统,并结合计算机程序来自动控制检测流程,从而计算输出测量结果,支持测头更换以及影像相机,同时支持精密转台等,能够对各种零件和部件
2023-06-25 10:54:11

NuEdu-SDK-M451新手如何入门

本人新手一个,仅有C语言基础。现手上有一套NuEdu-SDK-M451,但不知如何学习,请高手指点,谢谢!
2023-06-13 06:17:22

中图移动桥坐标测量仪

目前移动桥式结构是中小型坐标测量机的主要结构,这种结构特点是开敞性好,视野开阔,上下零件方便,运动速度快,精度高。配备高精度的导轨、测头和控制系统,并结合计算机程序来自动控制检测流程,从而计算输出
2023-06-12 13:59:51

多尺度材料设计与仿真平台Device Studio(新手快速入门指南01)

新手快速入门指南以第一性原理量子输运计算软件Nanodcal中 Si晶体结构的自洽和能带计算 为例进行详细说明,主要分为以下几个步骤,包含登录并启动Device Studio、创建Device
2023-06-07 16:17:28703

移动桥坐标测量仪

中图仪器Mars系列移动桥坐标测量仪能够对各种零件和部件的尺寸、形状及相互位置关系进行检测,也可以对软材质或复杂零件进行光学扫描测量。 坐标测量机是测量和获得尺寸数据的方法之一,因为
2023-06-07 11:17:10

分享24个三菱PLC编程案例

今天,小编给大家分享24个三菱PLC编程案例,非常适合电气新手入门学习。
2023-06-02 16:33:004106

C#学习系列之练手上位机基础知识和实用框架-1

工作使用的C#是在前人基础上进行修改、增加功能,练手较为局限。为了提高自己的编码水平,在网上找到一些视频资源进行学习。 小项目的界面设计 1.登录界面 登录界面的设计主要是在.xaml文件中进
2023-05-29 17:01:200

华秋重磅发布:离线版Gerber查看器+PCB/PCBA检测神器新功能!

推荐刚入门新手工程师,或是还未积累一定经验的伙伴,可以让你的工作事半功倍。
2023-05-29 10:39:08611

波片/偏振片安装

偏振片安装调整规格参数型号GCM-0902M GCM-0905M GJB-3GJB-4可加持光学器件的直径25.4mm50.8mm76.2mm/75mm(含转接件)101.6mm/100mm(含转接件)可加持厚度
2023-05-24 10:40:55

瑞萨 38D5 入门套件快速入门指南

瑞萨 38D5 入门套件快速入门指南
2023-04-28 19:43:050

瑞萨 3803L 入门套件快速入门指南

瑞萨 3803L 入门套件快速入门指南
2023-04-28 19:42:440

Renesas 7542入门套件快速入门指南

Renesas 7542 入门套件快速入门指南
2023-04-28 19:42:300

Renesas R8C/25 入门套件快速入门指南

Renesas R8C/25 入门套件快速入门指南
2023-04-28 19:07:451

瑞萨电子 M32C/87 入门套件快速入门指南

瑞萨电子 M32C/87 入门套件快速入门指南
2023-04-28 19:07:340

瑞萨电子 R8C/2D 入门套件快速入门指南

瑞萨电子 R8C/2D 入门套件快速入门指南
2023-04-28 19:06:330

瑞萨电子 R8C/1B 入门套件快速入门指南

瑞萨电子 R8C/1B 入门套件快速入门指南
2023-04-28 19:05:551

Renesas R8C/27 入门套件快速入门指南

Renesas R8C/27 入门套件快速入门指南
2023-04-28 19:04:540

瑞萨电子 R8C/23 入门套件快速入门指南

瑞萨电子 R8C/23 入门套件快速入门指南
2023-04-28 19:04:440

瑞萨入门套件 LCD 应用板快速入门指南

瑞萨入门套件 LCD 应用板快速入门指南
2023-04-28 18:44:030

新手入门PLC只需10米

plc
YS YYDS发布于 2023-04-27 21:37:27

瑞萨入门套件以太网和 USB 应用板快速入门指南

瑞萨入门套件以太网和 USB 应用板快速入门指南
2023-04-27 20:18:540

瑞萨 SH7137 入门套件快速入门指南

瑞萨 SH7137 入门套件快速入门指南
2023-04-27 19:59:520

瑞萨 R8C/2F 入门套件快速入门指南

瑞萨 R8C/2F 入门套件快速入门指南
2023-04-27 19:07:190

00006 三脚插头的接地线为什么格外长 #电气 #电工

电气电工技术
学习电子知识发布于 2023-04-27 17:48:28

瑞萨 SH7286 入门套件快速入门指南

瑞萨 SH7286 入门套件快速入门指南
2023-04-26 19:59:450

Renesas R32C/111 入门套件快速入门指南

Renesas R32C/111 入门套件快速入门指南
2023-04-26 19:36:470

Renesas R32C/118 入门套件快速入门指南

Renesas R32C/118 入门套件快速入门指南
2023-04-21 19:42:270

Labview新手入门学习程序

适用于新手入门Labview
2023-04-21 15:47:290

瑞萨入门套件 M16C/65 快速入门指南

瑞萨入门套件 M16C/65 快速入门指南
2023-04-20 19:04:240

三星推出业界领先水平“大角度防抖摄像头” 可提高补偿角度2倍

“在没有三脚架的情况下,如何拍出漂亮的星星?”答案就是采用三星电机的“大角度防抖摄像头”。这款摄像头搭载了业界领先水平的防抖功能(OIS),可提高2倍补偿角度,把智能手机防抖能力提升到一个新的高度
2023-04-17 18:25:43388

什么是调整电路板以获得最佳性能的最佳方式?

,并且 (2) 感觉我正在修补一个潜在的问题而不是修复它. 所以,问题是,什么是调整电路板以获得最佳性能的最佳方式?天线向上、向下、侧向?(是的,我已经阅读了有关方形弯头天线的耦合线程,但不会去那里
2023-04-12 06:16:30

6层DDR3等长线路练习

附件适合4层,6层入门新手学习扇孔和等长,差分走线的练习
2023-04-10 17:38:340

为何电压跟随器在负电压区域无法正常工作?

大家好:新手入门,刚接触电路设计,前来提问,多请包涵。我使用NE5532搭了一个电压跟随器,正负电源输入分别为6.0 V和-5.5 V,在正输入端接直流输入,测负输入端的电压。电路如下:其中反馈回路
2023-04-04 22:35:12

TS-KG02VA 6*6边三脚边三角插件

TS-KG02VA产品名称:6*6边三脚操作方式:侧按温度范围:-25°C TO +70°C克力:160gf/260gf包装方式:散装最小包装:1000/PCS 
2023-04-04 16:54:390

可控硅的接了有何用啊?

npn管pnp管可控硅(晶闸管)的接了有何用啊我的意思是比如给pnp的n硅的加正电,那两个p是段路还是干嘛。。。。交流电用4个二极管搞成直流再铝解电容不就行了吗??,要两个3级管搞什么,那两个是什么管啊呀
2023-04-04 11:30:22

6*6边三脚插件轻触开关

TS-KG02VA产品名称:6*6边三脚操作方式:侧按温度范围:-25°C TO +70°C克力:160gf/260gf包装方式:散装最小包装:1000/PCS 
2023-04-04 11:11:110

侧按轻触开关4.5*4.5*3.8H 3P边三脚按键开关

TS-KG09VA产品名称:4.5*4.5边三脚操作方式:侧按温度范围:-25°C TO +70°C克力:160gf/260gf包装方式:卷装最小包装:1000/PCS 
2023-04-04 11:02:530

新手求助相变压器中性点至绕组之间有没有电流呢?

新手求助相变压器中性点至绕组之间有没有电流呢?
2023-04-03 11:50:54

介绍一种进行SolidWorks文档属性及BOM定制的方法

SolidWorks软件是世界上第一个基于Windows开发的三维CAD系统,其操作非常符合Windows用户的习惯,因此新手入门上手比较容易。
2023-03-31 15:20:122310

相发电机5个的整流桥怎么接线呢?

相发电机5个的整流桥怎么接线呢?有哪些接法?
2023-03-31 11:25:54

相发电机5个的整流桥怎么接线呢?

相发电机5个的整流桥怎么接线呢?有哪些接法?
2023-03-31 11:25:21

已全部加载完成