0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

课程4:Verilog语法基础

电子硬件DIY视频 来源:电子硬件DIY视频 2019-12-23 07:08 次阅读

Verilog HDL是一种硬件描述语言,用于从算法级、门级到开关级的多种抽象设计层次的数字系统建模。被建模的数字系统对象的复杂性可以介于简单的门和完整的电子数字系统之间。数字系统能够按层次描述,并可在相同描述中显式地进行时序建模。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1602

    文章

    21320

    浏览量

    593158
  • Verilog
    +关注

    关注

    28

    文章

    1326

    浏览量

    109298
  • 时序
    +关注

    关注

    5

    文章

    357

    浏览量

    36956
收藏 人收藏

    评论

    相关推荐

    FPGA-Verilog HDL语法参考

    FPGA-Verilog HDL语法参考语法规范下列规范应用于语法描述,规则采用巴科斯—诺尔范式(B N F)书写:1) 语法规则按自左向右
    发表于 08-11 10:33

    Verilog HDL的基本语法

    Verilog HDL的基本语法 .pdf
    发表于 08-15 15:06

    verilog 语法在复习进阶

    verilog 语法在复习进阶
    发表于 09-19 08:18

    Verilog HDL语法

    Verilog HDL语法,要的拿
    发表于 01-24 22:53

    verilog语法练习实践篇

    verilog语法练习晋级篇
    发表于 09-02 13:40

    verilog HDL语法总结

    verilog HDL语法总结
    发表于 03-16 14:26

    Verilog基础语法

    Verilog基础语法
    发表于 05-27 08:00

    verilog是什么?基本语法有哪些?

    verilog是什么?基本语法有哪些?
    发表于 09-18 07:41

    卡内基梅陇大学verilog课程讲义

    卡内基梅陇大学verilog课程讲义 This is not one cohesive presentation on Verilog.
    发表于 04-15 14:10 0次下载

    可综合的Verilog语法和语义

    可综合的Verilog语法和语义(剑桥大学,影印):第七版
    发表于 05-21 14:50 27次下载
    可综合的<b class='flag-5'>Verilog</b><b class='flag-5'>语法</b>和语义

    Verilog_HDL的基本语法详解(夏宇闻版)

    Verilog_HDL的基本语法详解(夏宇闻版):Verilog HDL是一种用于数字逻辑电路设计的语言。用Verilog HDL描述的电路设计就是该电路的
    发表于 10-08 14:48 0次下载
    <b class='flag-5'>Verilog</b>_HDL的基本<b class='flag-5'>语法</b>详解(夏宇闻版)

    Verilog HDL实验练习与语法手册

    Verilog HDL实验练习与语法手册-高教
    发表于 05-11 11:30 0次下载

    常见的Verilog行为级描述语法

    常见的Verilog描述语句与对应的逻辑关系;熟悉语法与逻辑之间的关系
    的头像 发表于 09-15 08:18 9838次阅读
    常见的<b class='flag-5'>Verilog</b>行为级描述<b class='flag-5'>语法</b>

    Verilog语法进阶

    Verilog语法进阶说明。
    发表于 05-06 16:14 30次下载

    Verilog HDL入门教程-Verilog HDL的基本语法

    Verilog HDL入门教程-Verilog HDL的基本语法
    发表于 01-07 09:23 161次下载