0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

为什么DRC检查总是会报错

电子工程师 来源:fqj 2019-05-29 14:43 次阅读

前期为了满足各项设计的要求,我们会设置很多约束规则,当一个PCB单板设计完成之后,通常要进行DRC(Design Rule Check)检查。DRC检查就是检查设计是否满足所设置的规则。一个完整的pcb设计必须经过各项电气规则检查。常见的检查项包括间距、开路以及短路的检查,更加严格的还有差分对、阻抗线等检查。

DRC检查方法

DRC(Design Rule Check)检查,检查设计是否满足所设置的规则。需要检查什么,其实都是和规则相对应的,在检查某个选项时,请主要对应的规则是否使能打开。

1、如图所示,执行菜单命令“Tools-Design Rule Check(快捷键TD)”,打开DRC检查设置对话框。

为什么DRC检查总是会报错

打开DRC设置命令

为什么DRC检查总是会报错

DRC检查常规设置

Create Report File 执行完DRC之后,Altium会创建一个关于规则检查的报告,对报错信息会给出详细的描述并会给出报错的位置信息,方便我们设计者对报错信息进行解读。

为什么DRC检查总是会报错

DRC的详细报告内容

● Stop When 50000 Violations Found 表示当系统检测到50000个DRC报错的时候直接停止再检查,系统默认设置一般是500,但是我们设置到500时有些DRC会进行显示,有些DRC不会进行显示,只有我们修正已存在的错误,再次DRC的时候才会显示,这样对于大板设计的时候非常不方便。

2、设置DRC检查项目,如下所示,选择你需要检查的规则项。

在“Online”和“Batch”栏中勾选使能检查。其中:

● Online 如果PCB设计当中存在DRC报错时可以实时的显示出来

● Batch 只有手工执行DRC检查时,存在问题的报错才会显示出来。

一般来说我们需要检查DRC的时候两者都进行勾选,方便实时检查和手动检查同时进行。

为什么DRC检查总是会报错

使能DRC检查项

DRC检查不是说所有的规则都需要检查,设计者只需要检查自己想需要检查的规则即可。不想检查的规则对应的“Online”和“Batch”勾取消掉就好了。下面对常见的几种DRC检查进行详细的描述。

电气性能检查

电气性能检查包括间距检查,短路检查以及开路检查,一般这几项都需要勾选。对应常见问题报错如图展示。

为什么DRC检查总是会报错

电气性能检查设置

为什么DRC检查总是会报错

常见电气性能DRC报错

Routing检查

如图所示,Routing检查包含阻抗线检查,过孔检查,差分走线的检查,当我们设置的线宽,过孔大小及差分线宽不满足规则约束要求时就会提示DRC报错,让设计者注意。

为什么DRC检查总是会报错

阻抗线检查,过孔检查,差分线检查设置

一般在设计当中我们过孔的类型不要超过两种,这样可以再生产的时候可以少用钻头类型,提高生产效率。

Stub线头检查

虽然我们会对走线进行一些优化,但是考虑到还是人工进行布线处理,难免会对走线的一些线头有遗漏,这种线头我们简称“Stub”线头,在信号传输过程当中相当于一根“天线”,不断的接收或发射电磁信号,特别是高速的时候,容易给我们走线导入串扰,所以我们有必要对Stub线头进行检查,并在设计当中进行删除处理,Net Antennae Tolerance 设置“天线”长度报错范围,一般设置到1mil。

为什么DRC检查总是会报错

Stub线头检查

丝印上阻焊

阻焊是防止绿油覆盖的区域,会出现露铜或者露基材的情况,当我们的丝印标示放置到这个区域时,会出现缺失的情况,我们需要对其例行检查。我们需要对齐规则进行设置,并且勾选DRC检查选项。

● Check Clearance To Exposed Cooper 丝印到铜皮的间距。

● Check Clearance To Solder Mask Openings 丝印到阻焊的距离,一般选择设置这项。

● Silkscreen to Object Minimum Clearance 丝印到阻焊的距离,一般设置到2mil。

器件高度

因为考虑到PCB板布局存在限高要求,这种情况须对高度等进行例行检查,器件高度检查需要器件封装设置好高度信息、设置好高度检查规则及适配范围(全局还是局部),并勾选高度检查。

为什么DRC检查总是会报错

器件高度检查

器件距离的检查

大部分板子设计都是我们手工布局,难免存在器件重合的情况,我们需要对齐进行检查,防止后期器件装配时出现干涉。

● Minimum Horizontal Clearance 器件与器件的横向间距,一般设置为2mil。

● Minimum Vertical Clearance 器件与器件的纵向间距,一般设置为2mil。

为什么DRC检查总是会报错

常见的器件重叠情况及器件距离规则设置

为什么DRC检查总是会报错

器件间距的检查

对上述常见DRC检查项设置勾选之后,执行DRC菜单左下角的“Run Design Rule Check...”,运行DRC检查,等待几分钟之后,系统会生成一个DRC报告,详细列出错误内容及位置,如图(1),或者我们回到PCB界面,单击右下角命令“System-Messages”,如图(2),同样可以查看DRC类型,一般情况下我们都是采用第二种方法来进行查看。

为什么DRC检查总是会报错

(1) DRC检测报告

为什么DRC检查总是会报错

(2) Message报告

执行鼠标双击Message里面的DRC报告,可以弹跳到PCB报错位置,我们可以针对性的对这个DRC报错修正,可以接受的DRC可以直接忽略,比如:焊盘在Keep-out Layer层边线上,会出现间距报错,这种可以直接忽略不管。

重复上述步骤直到所有DRC更改完成,没有DRC报错或者所有报错DRC可以忽略为止。即完成DRC的检查,PCB电路设计通过电气性能的检查,可以进行下一步骤。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • pcb
    pcb
    +关注

    关注

    4220

    文章

    22472

    浏览量

    385747
  • DRC
    DRC
    +关注

    关注

    2

    文章

    143

    浏览量

    35770

原文标题:DRC检查总是报错?那这篇文章你不得不看!

文章出处:【微信号:FANYPCB,微信公众号:凡亿PCB】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    DRC检测时报错:Check Bus width mismatch

    mismatch2)就是原件中有很对电源交需要连接在一起,但是在DRC检测时也会报错:Warning(ORCAP-1589)QUESTION(ORCAP-1589): Net has two or more aliases - possible short?
    发表于 08-19 17:15

    用AD软件画PCB出现DRC检查报错

    ` 本帖最后由 tao11 于 2018-12-12 17:09 编辑 图片显示的DRC检查报错出现的符号,是什么意思(AD17)`
    发表于 12-11 15:52

    DRC检查报错

    刚连完线,DRC检查报错如下其他的不打算花时间改了,第一项的Net Antennae是什么意思,具体报错如下PCB部分截图如下上图是这次画图,报错,下图是前一段时间画的图
    发表于 04-08 09:35

    STM32f103C8t6实现温度显示实验总是会报错

    这几天学校要求我们用STM32f103C8t6实现温度显示实验,用的是L1602,我用的是SYSTEM文件夹的东西,总是会报错,显示GPIO_WriteBit未定义。这个我很不解以下是1602
    发表于 04-24 06:35

    检查DRC出现下面错误

    检查DRC就剩这一个错误,报错我这个VCC有错误,我怎么改还是报错,请问打什么的VCC哪里错了,怎么修正,谢谢
    发表于 05-15 07:35

    DRC检查常见错误

    DRC检查是依据自行设置的规则进行的。例如自己设置的最小间距是8mil,那么实际PCB中,出现小于6mil的间距就会报错。并不是DRC有错误的板子就不能使用,例如丝印的错误不会影响电气
    发表于 07-04 09:10

    为什么在Altium中AD09铺的铜DRC会报错

    AD09铺的铜DRC会报错怎么弄
    发表于 07-07 22:07

    为什么过孔没网络DRC检测不报错

    RT所示,我在PCB中放置了一个没网络的VIA,但是DRC检测不会报错误。
    发表于 09-23 02:12

    为什么DRC检查的时候一直报错

    DRC检查时候一直报错,见截图怎么回事?
    发表于 09-27 02:09

    Altium:DRC检查 report_drc.xsl不存在报错的解决方法

    使用AD进行PCB——DRC检查时,报告未生成,软件提示...report_drc.xsl don't exist。出现此种报错的原因大致的有以下两种:1. report_
    发表于 11-12 10:14

    AD通过叠放过孔绘制椭圆焊盘,DRC检查报错

    `想通过叠放过孔绘制椭圆焊盘,但是DRC检查一直报错。已经修改过规则了。新手小白,有没有大神给指点一下。`
    发表于 11-03 01:29

    用ADE XLall跑all Corner时 第一个Corner为什么总是会报错

    在用 ADE XL仿真出现一个error ERRO ID:5010在用 ADE XLall 跑all Corner 的时候,第一个Corner总是会报错。不知道怎么回事,outputlog里面又没有显示有错,
    发表于 06-25 06:04

    Mentor软件的DRC检查接线率未拿出器件

    Mentor软件的DRC检查 接线率 未拿出器件
    发表于 12-17 10:06 0次下载

    Altium显示DRC错误的检查方法

    Altium有时候总是显示DRC错误,不知道哪里出了问题,下面小编带大家学习一下常见的DRC检查有哪些?
    的头像 发表于 09-23 12:27 3.3w次阅读

    Altium designer对DRC的常规检查

    Create Report File 执行完DRC之后,Altium会创建一个关于规则检查的报告,对报错信息会给出详细的描述并会给出报错的位置信息,方便我们设计者对
    的头像 发表于 10-06 17:49 7341次阅读
    Altium designer对<b class='flag-5'>DRC</b>的常规<b class='flag-5'>检查</b>