0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

ug1292深度解析

电子工程师 来源:未知 作者:李倩 2018-10-12 11:19 次阅读

ug1292第一页的主题是初始设计检查。这一步是针对综合后或者opt_design阶段生成的dcp。尽管在Vivado下,从功能仿真到综合、布局布线、直至生成.bit文件是相对自动化的流程,但是解决时序违例仍然是一个复杂且耗时的过程。仅仅靠log信息或者布线后的时序报告往往很难定位,这是因为实现过程中的每一步(opt_design逻辑优化,place_design布局, phys_opt_design物理优化, route_design布线)都会做一些优化,这些优化可能会导致关键路径被掩盖,例如,有时发现设计中逻辑级数(Logic Level)较高的路径时序收敛了,反倒是逻辑级数较低甚至为0的路径出现时序违例。因此,采取按部就班的策略,检查每一步的结果,及时且尽早发现设计中的问题是很有必要的。

初始设计检查流程如下图所示。对象是综合后或opt_design阶段生成的dcp。会依次执行三个命令(图中红色标记),生成三个报告:FailFast报告、时序报告和UFDM(UltraFast Design Methodology)报告。

(图片来源ug1292, page 1)

report_failfast的一个便利之处是可以给出各类资源利用率的上限,如下图所示,这是Vivado自带例子工程cpu的FailFast报告。可以看到,对于LUT,利用率应控制在70%以内;触发器(FD)应控制在50%以内;BlockRAMDSP48可以达到80%。在这个报告中尤其要关注Status为Review的条目,这是会给时序收敛带来负面影响的,需要优化的。对于设计中存在Pblock情形,report_failfast提供了-pblock选项,对于SSI器件,report_failfast提供了-slr和-by_slr(需要在place_design阶段生成的dcp下使用)选项。这样,可针对某个pblock或某个SLR进行分析。

report_timing_summary可以生成时序报告,除了查看时序违例路径之外,该报告还可显示时序约束是否存在潜在问题。如下图所示,Check Timing下包含12个条目,这个阶段需要格外关注是否有未约束的时序路径,是否有Timing loop,同时还要关注时钟约束是否合理。

report_methodology可以生成UFDM报告。该命令不仅可以检查RTL代码存在的问题,例如Block RAM没有使用内部Embedded Registers,DSP48用做乘法器时没有使能MREG等,还可以检查时序约束存在的问题。如图所示,要尤其关注其中的Bad Practice。

对于这三个报告中存在的问题,要尽可能地在综合阶段或者opt_design阶段加以解决,最终确保这三个报告足够“干净”,即所有隐患都被消除。

此外,对于大规模的设计,可针对设计中的关键模块使用上述三个命令,因为这些关键模块很有可能成为时序收敛的瓶颈。为了使用这三个命令,可以对关键模块采用OOC(Out-of-Context)的综合方式或单独创建Vivado工程以便生成相应的dcp。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 自动化
    +关注

    关注

    28

    文章

    5037

    浏览量

    77729
  • 乘法器
    +关注

    关注

    8

    文章

    192

    浏览量

    36581

原文标题:深度解析ug1292(1)

文章出处:【微信号:Lauren_FPGA,微信公众号:FPGA技术驿站】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    I2C通信设计深度解析

    I2C通信设计深度解析
    发表于 08-12 21:31

    AUTOSAR架构深度解析 精选资料推荐

    AUTOSAR架构深度解析本文转载于:AUTOSAR架构深度解析目录AUTOSAR架构深度解析A
    发表于 07-28 07:40

    AUTOSAR架构深度解析 精选资料分享

    AUTOSAR架构深度解析本文转载于:AUTOSAR架构深度解析AUTOSAR的分层式设计,用于支持完整的软件和硬件模块的独立性(Independence),中间RTE(Runtime
    发表于 07-28 07:02

    C语言深度解析

    C语言深度解析,本资料来源于网络,对C语言的学习有很大的帮助,有着较为深刻的解析,可能会对读者有一定的帮助。
    发表于 09-28 07:00

    LM1292 pdf,LM1292 datasheet

    LM1292Video PLL System for Continuous-Sync MonitorsGeneral DescriptionThe LM1292 is a very low
    发表于 09-17 00:36 25次下载

    ug1292时序收敛快速参考手册

    这个手册与ug949的理念是一致的即”尽可能地把所有问题放在设计初期解决“。宁可在设计初期花费更多的时间,也不要等到布局布线后才开始发现问题再解决问题。因为,在设计后期,往往会面临牵一发而动全身的被动局面。即使一个小的改动都有可能花费很多的时间和精力甚至造成返工。
    的头像 发表于 10-09 10:52 3186次阅读
    <b class='flag-5'>ug1292</b>时序收敛快速参考手册

    深度解析ug1292建立时间违例分析流程

    上述四个数值,无论是在timing report(通过report_timing_summary生成)还是design analysis report(通过report_design_analysis生成)中都有所体现。以timingreport为例,如下图所示,可清晰地显示上述四个数值。
    的头像 发表于 10-19 10:32 5223次阅读

    UG1292使用之初始设计检查使用说明

    UG1292第一页是针对综合后或者opt_design阶段的使用说明。vivado的基本流程有5步(其实不同策略可以更多,具体使用方法和好处以后讲),分别是synth_design
    的头像 发表于 10-30 10:25 3139次阅读
    <b class='flag-5'>UG1292</b>使用之初始设计检查使用说明

    深度解析ug1292:降低布线延迟

    当整体资源利用率达到70%~80%时(对于多die芯片,这个数值是指每个SLR的资源利用率),需要砍掉一些模块以降低资源利用率。尤其要避免LUT/BRAM/DSP/URAM利用率同时出现大于80%的情形。如果BRAM/DSP/URAM这些Block利用率无法降低,那么要确保LUT利用率低于60%。
    的头像 发表于 10-30 10:47 4087次阅读

    DC1292A-设计文件

    DC1292A-设计文件
    发表于 04-12 10:10 1次下载
    DC<b class='flag-5'>1292</b>A-设计文件

    DC1292A-模式

    DC1292A-模式
    发表于 04-17 18:16 0次下载
    DC<b class='flag-5'>1292</b>A-模式

    DC1292A-设计文件

    DC1292A-设计文件
    发表于 06-16 18:25 1次下载
    DC<b class='flag-5'>1292</b>A-设计文件

    DC1292A DC1292A评估板

    电子发烧友网为你提供ADI(ti)DC1292A相关产品参数、数据手册,更有DC1292A的引脚图、接线图、封装手册、中文资料、英文资料,DC1292A真值表,DC1292A管脚等资料
    发表于 09-03 19:00

    AD1292R芯片手册

    ADS1291、ADS1292 和 ADS1292R 是多通道同步采样 24 位 Δ-Σ 模数转换器 (ADC),它们具有内置的可编程增益放大器 (PGA)、内部基准和板载振荡器。ADS1291
    发表于 04-07 17:58 4次下载

    UltraFast设计方法时序收敛快捷参考指南(UG1292)

    电子发烧友网站提供《UltraFast设计方法时序收敛快捷参考指南(UG1292).pdf》资料免费下载
    发表于 09-15 10:38 0次下载
    UltraFast设计方法时序收敛快捷参考指南(<b class='flag-5'>UG1292</b>)