0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

简谈FPGA verilog中的repeat用法与例子

FPGA学习交流 2018-08-15 14:07 次阅读

大家好,又到了每日学习的时间了,今天我们来聊一聊FPGA verilog中的repeat用法与例子。

repeat 循环语句执行指定循环数,如果循环计数表达式的值不确定,即为 x 或z 时,那么循环次数按 0 处理。

repeat 循环语句的语法为:
repeat(循环次数表达式)
begin
语句块;
end

其中, “循环次数表达式”用于指定循环次数,可以是一个整数、变量或者数值表达式。如果是变量或者数值表达式,其数值只在第一次循环时得到计算,从而得以事先确定循环次
数; “语句块”为重复执行的循环体。 在可综合设计中, “循环次数表达式”必须在程序编译过程中保持不变。下面给出一个:

module mult_8b_repeat(
a, b, q , a_t1
);

parameter bsize = 8;
input [bsize-1 : 0] a, b;
output [2*bsize-1 : 0] q;
output
reg [2*bsize-1 : 0] a_t1;
reg [2*bsize-1 : 0] q, a_t;
reg [bsize-1 : 0] b_t;

always @(a or b) begin
q = 0;
a_t = a;

//a_t1 = {{bsize[0]},a};

b_t = b;

repeat(bsize) begin
if (b_t[0]) begin
q = q + a_t;
end
else begin
q = q;
end
a_t = a_t << 1; 
b_t = b_t >> 1;
end
end

endmodule

波形:
113457f1bskcoxs1xc5qou.png


今天就聊到这里,各位,加油。


声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1602

    文章

    21320

    浏览量

    593173
收藏 人收藏

    评论

    相关推荐

    FPGA研发设计相关规范(很实用)

    组合逻辑,应在敏感信号列表列出所有的输入信号。(8)所有的内部寄存器都应该能够被复位,在使用FPGA实现设计时,应尽量使用器件的全局复位端作为系统总的复位。(9)对时序逻辑描述和建模,应尽量使用非阻塞
    发表于 04-16 15:42

    fpga是用c语言还是verilog

    FPGA(现场可编程逻辑门阵列)开发主要使用的编程语言是硬件描述语言(HDL),其中Verilog是最常用的编程语言之一。而C语言通常用于传统的软件编程,与FPGA的硬件编程有所区别。
    的头像 发表于 03-27 14:38 303次阅读

    verilog inout用法与仿真

    Verilog语言是一种硬件描述语言(HDL),用于描述数字逻辑电路和系统。它是一种非常强大且广泛使用的语言,在数字电路设计中扮演着重要的角色。其中, inout 是Verilog中的一种信号类型
    的头像 发表于 02-23 10:15 408次阅读

    FPGA的片内资源

    FPGA的片内资源
    发表于 01-08 22:12

    为什么按照demo_nice的例子编译时候无法生成.verilog文件呢?

    求问大神,我想像demo_nice例子一样仿真看核内部信号,尝试在IDE写内部寄存器值,然后转成.verilog用于vcs仿真读入ITCM,这总思路是对的吗,为什么按照demo_nice的
    发表于 08-16 07:14

    【每日一练】参与FPGA技术社区每日学习,轻松掌握Verilog语法!

    :【verilog每日一练】位拼接符的应用:如何实现串行数据的接收与输出 第6练:【verilog每日一练】if_else语句基本用法 第7练:【verilog每日一练】if_else
    发表于 08-01 10:37

    基于FPGA的千兆以太网设计

    大侠带来基于FPGA的千兆以太网设计,话不多说,上货。今天我们来简单的聊一聊以太网,以太网在FPGA学习属于比较高级的内容了,有些大侠
    发表于 06-01 18:39

    FPGA设计不同设计方法资源消耗对比

    今天和大侠简单聊一聊FPGA设计不同设计方法硬件资源消耗对比,话不多说,上货。 在这里,我们使用Verilog HDL 设计计数器,通过两种不同的写法,对比资源消耗。计数器实现的功能是计数记到24
    发表于 05-31 17:25

    FPGA的竞争冒险和毛刺问题

    。 但是和所有的数字电路一样,FPGA 电路也存在毛刺问题。它的出现会影响电路工作的可靠性、稳定性,严重时会导致整个数字系统的误动作和逻辑紊乱。下面就来讨论交流一下FPGA 的竞争冒险与毛刺
    发表于 05-30 17:15

    CPU、MCU、FPGA、SoC芯片异同之处

    地相互连接,CLB的功能很强,不仅能够实现逻辑函数,还可以配置成RAM等复杂的形式。配置数据存放在芯片内的SRAM,设计人员可现场修改器件的逻辑功能,即所谓的现场可编程。FPGA出现后受到电子设计
    发表于 05-26 17:07

    卷积—幽默笑话卷积

    作弊,为了让照片同时像两个人,只要把两人的图像卷积处理即可,这就是一种平滑的过程,可是我们怎么才能真正把公式和实际建立起一种联系呢,也就是说,我们能不能从生活中找到一种很方便且具体的例子来表达公式
    发表于 05-25 18:08

    FPGA三大厂商工具绑定外部编辑器

    和sublime text3的方法。 开发FPGA的都知道各公司软件自带的文本编辑器都不怎么好用,所以通常需要绑定外部文本编辑器来编辑verilog等设计文件。之前用过Xilinx、Altera
    发表于 05-24 16:52

    FPGA研发设计相关规范(企业初入职场很实用)

    ,wand,triand,wor,trior,real,disable,forever,arrays,memories,repeat,task,while。 建立可综合模型的原则 要保证Verilog
    发表于 05-23 18:15

    FPGA开发环境的搭建和verilog代码的实现

    FPGA需要良好的数电模电基础,verilog需要良好C语言基础。
    发表于 05-22 15:04 509次阅读
    <b class='flag-5'>FPGA</b>开发环境的搭建和<b class='flag-5'>verilog</b>代码的实现

    FPGA开发环境的搭建和verilog代码的实现

    FPGA需要良好的数电模电基础,verilog需要良好C语言基础。
    的头像 发表于 05-11 17:30 1432次阅读
    <b class='flag-5'>FPGA</b>开发环境的搭建和<b class='flag-5'>verilog</b>代码的实现