0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

FPGA学习系列:23. 音乐蜂鸣器的设计

FPGA学习交流 2018-08-08 12:31 次阅读

设计背景:

蜂鸣器是一种一体化结构的电子讯响器,采用直流电压供电,广泛应用于计算机、打印机、复印机、报警器、电子玩具、汽车电子设备、电话机、定时器电子产品中作发声器件。在一般设计中,可利用蜂鸣器检测有些按键是否按下,或者有些功能是否正常等,当然如果足够浪漫,也可以让蜂鸣器演奏音乐。

设计原理:

本设计使用的是无源蜂鸣器,也可称为声响器,原理电路图如下所示。它没有内部驱动电路,无源蜂鸣器工作的理想信号为方波,如果给直流,蜂鸣器是不响应的,因为磁路恒定,钼片不能震动发音

image.png

根据电路图可知,由于FPGA的驱动能力不够,这里增加了一个三极管来驱动这个无源蜂鸣器。在驱动时,只需要向蜂鸣器发送一定频率的方波,就可以使蜂鸣器发声。那么应该发送怎样的频率呢?具体则可参考下表(音节频率表):

image.png

乐曲能连续演奏所需要的两个基本数据是:组成乐曲的每个音符的频率值(音调)和每个音符持续的时间(音长)。因此只要控制FPGA输出到蜂鸣器的激励信号频率的高低和持续时间,就可以使蜂鸣器发出连续的乐曲声。

在本设计中,由于至芯开发板的晶振为50MHz,所以我们需要一个一个分频模块(PLL)产生一个较低的基准频率(1MHz)。还需要一个空间储存乐谱,由于乐谱是固定的不需要更改,所以我们选择ROM IP 核进行存储。

基准频率1MHz可分频得到所有不同频率的信号。最大的分频比为1_000_000/262/2。既然是音乐,那么就需要节拍,一般采用4拍,即音长为0.25s,所以还需设计一个模块,控制每0.25s,ROM地址加1,。如果需要发送一个低音1并维持1秒,则只需要在ROM的连续四个地址中写入低音1的对应信息即可。

在设计中为了方便在ROM中储存数据,这里数据格式为8hAB,其中A暂时为三个值1、2、4,分别表示低音、中音、高音。B暂时为七个值1、2、3、4、5、6、7。比如要产生一个低音1,只需在ROM中存储8h11,如要产生一个高音7,只需在ROM中存储8h47,以此类推即可。这时,就需要一个解码模块,将ROM中的数据还原成音乐发生器所需要的数据。

设计架构图:

根据上述的分析,得到如下的架构图:

image.png

本设计包括6个模块,PLL模块把50MHz的时钟信号降到1MHz,rom模块存储音乐数据,time_counter是一个计数模块,产生节拍,每到0.25s,输出的time_finsh变为一个周期的高电平。并发送给addr_gen模块,产生addr,让rom输出下一个地址的数据。rom输出的数据rom_data输入到decode解码模块,将解码后的数据music_data输入到music_gen模块,通过计数器,如果计数器小于music_data的值,则beep保持不变,否则,beep取反,并且计数器清1,从而产生特定的方波频率。

设计代码:

time_counter模块代码如下:

0moduletime_counter (clk,rst_n,time_finsh);

1

2inputclk,rst_n;//输入1Mhz时钟信号,复位信号

3outputtime_finsh;//输出时间计数标志位(没0.25s变高电平一次)

4

5reg[17:0]count;//计数器count

6

7always@(posedgeclk ornegedgerst_n)

8begin

9if(!rst_n)

10count <=18'd0;//计数器复位

11elseif(time_finsh)

12count <=18'd0;//每到0.25s计数器归零

13else

14count <=count +1'd1;//未到0.25s,计数器继续累加

15end

16/*****每到0.25stime_finsh拉高,表示已经达到0.25s*****/

17assigntime_finsh =(count ==18'd249_999)?1'd1:1'd0;

18/*****用于仿真,因为真正的0.25是会仿真很长*****/

19//assign time_finsh = (count == 22'd25_00)? 1'd1 : 1'd0;

20

21endmodule

addr_gen模块代码如下:

0moduleaddr_gen (clk,rst_n,addr,time_finsh);

1

2inputclk,rst_n;//输入1Mhz时钟信号,复位信号

3inputtime_finsh;//输入时间计数标记位(每0.25s变高电平一次)

4outputreg[6:0]addr;//输出给ROM的地址信号

5

6always@(posedgeclk ornegedgerst_n)

7begin

8if(!rst_n)

9addr <=7'd0;//输出给ROM的地址信号复位

10elseif(time_finsh)//输出给ROM的地址信号自加1(每0.25s自加1

11addr <=addr +1'd1;

12else

13addr <=addr;//未够0.25s,ROM的地址信号不变

14end

15

16endmodule

decode解码模块代码如下:

0moduledecode (clk,rst_n,rom_data,music_data);

1

2inputclk,rst_n;//输入1Mhz时钟信号,复位信号

3input[7:0]rom_data;//输入的ROM的数据

4outputreg[10:0]music_data;//输出ROM的解码数据

5

6always@(posedgeclk ornegedgerst_n)

7begin

8if(!rst_n)

9music_data <=11'd0;//输出ROM的解码数据复位

10else

11case(rom_data)

128'h11:music_data <=11'd1911;//(1Mhz/261.63Hz)/2)=1191 低音1

138'h12:music_data <=11'd1702;//(1Mhz/293.67Hz)/2)=1702 低音2

148'h13:music_data <=11'd1517;//(1Mhz/329.63Hz)/2)=1517 低音3

158'h14:music_data <=11'd1431;//(1Mhz/349.23Hz)/2)=1431 低音4

168'h15:music_data <=11'd1276;//(1Mhz/391.99Hz)/2)=1276 低音5

178'h16:music_data <=11'd1136;//(1Mhz/440.00Hz)/2)=1136 低音6

188'h17:music_data <=11'd1012;//(1Mhz/493.88Hz)/2)=1012 低音7

19

208'h21:music_data <=11'd939;//(1Mhz/532.25Hz)/2)=939 中音1

218'h22:music_data <=11'd851;//(1Mhz/587.33Hz)/2)=851 中音2

228'h23:music_data <=11'd758;//(1Mhz/659.25Hz)/2)=758 中音3

238'h24:music_data <=11'd716;//(1Mhz/698.46Hz)/2)=716 中音4

248'h25:music_data <=11'd638;//(1Mhz/783.99Hz)/2)=638 中音5

258'h26:music_data <=11'd568;//(1Mhz/880.00Hz)/2)=568 中音6

268'h27:music_data <=11'd506;//(1Mhz/987.76Hz)/2)=506 中音7

27

288'h41:music_data <=11'd478;//(1Mhz/1046.50Hz)/2)=478 高音1

298'h42:music_data <=11'd425;//(1Mhz/1174.66Hz)/2)=425 高音2

308'h43:music_data <=11'd379;//(1Mhz/1318.51Hz)/2)=379 高音3

318'h44:music_data <=11'd358;//(1Mhz/1396.51Hz)/2)=358 高音4

328'h45:music_data <=11'd319;//(1Mhz/1567.98Hz)/2)=319 高音5

338'h46:music_data <=11'd284;//(1Mhz/1760.00Hz)/2)=284 高音6

348'h47:music_data <=11'd253;//(1Mhz/1975.52Hz)/2)=253 高音7

35

368'h00:music_data <=11'd0;//0HZ,停止节拍

37endcase

38end

39

40endmodule

music_gen模块代码如下:

0modulemusic_gen (clk,rst_n,music_data,beep);

1

2inputclk,rst_n;//输入1Mhz时钟信号,复位信号

3input[10:0]music_data;//输入音乐频率控制字

4outputregbeep;//输出方波

5

6reg[10:0]data,count;//寄存音乐控制字的data,计数器count

7

8always@(posedgeclk ornegedgerst_n)

9begin

10if(!rst_n)

11data <=11'd0;//寄存器data复位

12else

13data <=music_data;//data寄存音乐控制字

14end

15

16always@(posedgeclk ornegedgerst_n)

17begin

18if(!rst_n)

19begin

20count <=11'd1;//计数器复位

21beep <=1'd0;//输出方波复位

22end

23elseif(data ==11'd0)//data==11‘d0,(停止节拍)

24begin

25count <=11'd1;//计数器归一

26beep <=1'd0;//输出方波归零

27end

28elseif(count <=data)//当计数器小于等于data的值

29count <=count +1'd1;//计数器继续累加

30else

31begin

32count <=11'd1;//当计数器大于data的值,计数器归一

33beep <=~beep;//输出方波取反

34end

35end

36

37endmodule

beep顶层模块代码如下:

0modulebeep (clk,rst_n,beep);

1

2inputclk,rst_n;//输入50Mhz时钟信号,复位信号

3outputbeep;//输出的方波

4

5wireclk_1M,time_finsh;//1Mhz时钟信号线,0.25s时间计数标记位

6wire[6:0]addr;//rom地址线

7wire[7:0]rom_data;//rom数据线

8wire[10:0]music_data;//rom数据解码数据线

9

10/*****PLL模块*****/

11my_pll my_pll_inst(

12.areset(~rst_n),

13.inclk0(clk),

14.c0(clk_1M)

15);

16

17/*****0.25s时间计数器模块*****/

18time_counter time_counter_inst(

19.clk(clk_1M),

20.rst_n(rst_n),

21.time_finsh(time_finsh)

22);

23

24/*****ROM地址发生器*****/

25addr_gen addr_gen_inst(

26.clk(clk_1M),

27.rst_n(rst_n),

28.addr(addr),

29.time_finsh(time_finsh)

30);

31

32/*****ROM模块*****/

33my_rom my_rom_inst(

34.address(addr),

35.clock(clk_1M),

36.q(rom_data)

37);

38

39/*****解码模块*****/

40decode decode_inst(

41.clk(clk_1M),

42.rst_n(rst_n),

43.rom_data(rom_data),

44.music_data(music_data)

45);

46

47/*****音乐发生器模块*****/

48music_gen music_gen_inst(

49.clk(clk_1M),

50.rst_n(rst_n),

51.music_data(music_data),

52.beep(beep)

53);

54

55endmodule

beep_tp顶层测试模块代码如下:

0`timescale1ns/1ps

1

2modulebeep_tb;

3

4regclk,rst_n;

5wirebeep;

6

7initialbegin

8clk =1;

9rst_n =0;

10#200.1rst_n=1;

11

12//#100000000 $stop;

13end

14

15beep beep_dut(

16.clk(clk),

17.rst_n(rst_n),

18.beep(beep)

19);

20

21always#10clk =~clk;

22

23endmodule

仿真图:

仿真结果如下:

由仿真图可知:当rom输出rom_data8h16时,代表输出低音6,解码后结果music_data1136,输出的beep频率为440Hz,与实际低音6的音节频率表的值一致;当rom输出rom_data8h22时,代表输出中音2,解码后结果music_data851,输出的beep频率为563Hz,与实际中音2的音节频率表的值相差24Hz,存在一定的误差,但是不影响乐曲的播放。如果想提高beep频率的精度,减小误差,则可以将1MHz的基准频率提高。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1602

    文章

    21320

    浏览量

    593155
收藏 人收藏

    评论

    相关推荐

    基于FPGA音乐倒数计时器

    基于FPGA音乐倒数计时器,设计FPGA的时序,当倒计时为0时,通过输入电平的不同频率和高低电平的占空比控制蜂鸣器,播放音乐
    发表于 05-05 15:23

    至芯科技昭哥带你学FOGA之FPGA_100天之旅_音乐蜂鸣器设计

    本文属于本人原创,和大家一起学习FPGA,交流FPGA,希望大家多多支持。来源:至芯科技昭哥带你学FOGA之FPGA_100天之旅_音乐
    发表于 09-25 10:08

    基于fpga音乐播放器设计

    的控制频率来完成产生不同音调的声音,从而完成达到简单音乐播放的效果。通过蜂鸣器来实现控制频率达到音乐播放的效果很符合我们所学知识,能够充分应用我们在课堂及实验里学习的技能,因此我选择
    发表于 04-02 12:24

    FPGA开发板上实现通过蜂鸣器播放音乐的设计

    1、在FPGA开发板上实现通过蜂鸣器播放音乐的设计本实验是实验七SoC系统准备的外设,为纯FPGA逻辑实验。本实验目标是通过蜂鸣器播放
    发表于 08-17 16:14

    基于FPGA音乐蜂鸣器设计(附代码)

    叁芯智能科技-郝旭帅团队打造“FPGA 设计与研发”学习系列, 可以让设计者从“小白”到“入门”再到“精通”。本系列从基础的数字电路为起点,避免
    发表于 02-27 16:43

    音乐蜂鸣器设计-ISE操作工具

    学习FPGA设计方法及设计思想的同时,实操结合各类操作软件,会让你在技术学习道路上无比的顺畅,告别技术学习小BUG卡破脑壳,告别目前忽悠性的培训诱导,真正的去
    发表于 04-04 21:46

    YYS12系列音乐蜂鸣器

    YYS12系列音乐蜂鸣器 YYS12 系列音乐蜂鸣器为微型电磁式有源蜂呜器.只要接通直流电
    发表于 09-19 16:44 1013次阅读

    蜂鸣器音乐播放实验

    本内容详细介绍了蜂鸣器音乐播放实验,并且给出了具体电路图及实验步骤
    发表于 05-25 15:03 814次下载
    <b class='flag-5'>蜂鸣器</b><b class='flag-5'>音乐</b>播放实验

    蜂鸣器和单片机发出音乐

    蜂鸣器和单片机发出音乐
    发表于 11-09 16:55 10次下载

    蜂鸣器播放音乐

    慧净HL-1 配套C实验例程100例【实验30】蜂鸣器播放音乐),很好的C51学习资料程序。
    发表于 03-21 16:21 38次下载

    蜂鸣器播放音乐程序

    蜂鸣器播放音乐程序,有兴趣的同学可以下载学习
    发表于 04-27 16:18 33次下载

    把手教你学习FPGA蜂鸣器

    把手教你学习FPGA蜂鸣器篇,详细的教程。
    发表于 09-01 16:40 0次下载

    HL开发板的蜂鸣器播放音乐学习程序

    HL开发板【实验30】蜂鸣器播放音乐,单片机学习程序,很好的学习资料。
    发表于 09-01 11:50 16次下载

    基于FPGA音乐蜂鸣器设计

    本设计使用的是无源蜂鸣器,也可称为声响器,原理电路图如下所示。它没有内部驱动电路,无源蜂鸣器工作的理想信号为方波,如果给直流,蜂鸣器是不响应的,因为磁路恒定,钼片不能震动发音。
    的头像 发表于 11-11 09:48 6062次阅读
    基于<b class='flag-5'>FPGA</b>的<b class='flag-5'>音乐</b><b class='flag-5'>蜂鸣器</b>设计

    学习心得】蜂鸣器播放音乐

    以下是学习STM32控制蜂鸣器时的一些心得体会,我也是综合各种资料写出来的。蜂鸣器是一种很常见的电子元件,一般也就发出滴滴的声音。但自从在网上看到各种用蜂鸣器播放
    发表于 11-23 18:21 65次下载
    【<b class='flag-5'>学习</b>心得】<b class='flag-5'>蜂鸣器</b>播放<b class='flag-5'>音乐</b>