0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

异步电路中时钟如何同步的多种方法

DIri_ALIFPGA 来源:未知 作者:邓佳佳 2018-03-28 17:12 次阅读

时钟数字电路中所有信号的参考,特别是在FPGA中,时钟是时序电路的动力,是血液,是核心。没有时钟或者时钟信号处理不得当,都会影响系统的性能甚至功能,所以在一般情况下,在同一个设计中使用同一个时钟源,当系统中有多个时钟时,需要根据不同情况选择不同的处理方法,将所有的时钟进行同步处理,下面分几种情况介绍时钟的同步处理方法。

第一种情况:

当有多个时钟在同一个数字电路中,且有一个时钟(Clk)的速率大于其它时钟两倍以上。

这种情况最为简单,在接口部分就必须要对其他时钟进行同步化处理,将其处理为与Clk同步的时钟信号。

这样处理的好处是:

便于处理电路内部时序;

时钟间边界条件只在接口部分电路进行处理。

实质上,时钟采样的同步处理方法就是上升沿提取电路,经过上升沿提取输出信息中,带有了系统时钟的信息,所以有利于保障电路的可靠性和可移植性。

第二种情况:

当系统中所有时钟没有一个时钟速率达到其他时钟频率的两倍的情况,也就是系统中多个时钟速率差不多的情况。

这个时候无法满足采样定理,所以在接口部分就必须对其他时钟和数据通过FIFO或者DPRAM进行隔离,并将其他时钟信息转换为和系统时钟同步的允许信号。比如在高速的数据采集系统当中,AD的采集时钟往往比较高,大于系统时钟的一半以上,这时候采用同步化处理无法满足时序设计。

第三种情况:

系统中多个时钟之间存在数据互相采样。

对于这种情况,可使用两级触发器级联采样数据,避免亚稳态发生。

第四种情况:

多级时钟网络处理。

所谓多级时钟网络是指时钟经过超过一级的门电路后连到触发器的时钟输入端。

由于时钟建立-保持时间的限制,FPGA设计中应尽量避免采用多时钟网络,在设计中必须要将时钟网络进行简化,尽量采用使能的方式或者其他简化的电路结构。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1602

    文章

    21320

    浏览量

    593173
  • 数字电路
    +关注

    关注

    192

    文章

    1396

    浏览量

    79750
  • 异步电路
    +关注

    关注

    2

    文章

    43

    浏览量

    11046

原文标题:异步电路中时钟同步的方法

文章出处:【微信号:ALIFPGA,微信公众号:FPGA极客空间】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    FPGA异步时钟设计同步策略

    摘要:FPGA异步时钟设计如何避免亚稳态的产生是一个必须考虑的问题。本文介绍了FPGA异步时钟设计
    发表于 04-21 16:52

    简谈异步电路时钟同步处理方法

    大家好,又到了每日学习的时候了。今天我们来聊一聊异步电路时钟同步处理方法。既然说到了
    发表于 02-09 11:21

    异步时钟域的亚稳态问题和同步

    相较纯粹的单一时钟同步电路设计,设计人员更多遇到的是多时钟域的异步电路设计。因此,
    发表于 07-31 16:51 0次下载

    简谈异步电路中的时钟同步处理方法

    大家好,又到了每日学习的时候了。今天我们来聊一聊异步电路中的时钟同步处理方法。 既然说到了时钟
    的头像 发表于 05-21 14:56 1.3w次阅读
    简谈<b class='flag-5'>异步</b><b class='flag-5'>电路</b>中的<b class='flag-5'>时钟</b><b class='flag-5'>同步</b>处理<b class='flag-5'>方法</b>

    时钟域的同步时序设计和几种处理异步时钟域接口的方法

    在数字电路设计中,大部分设计都是同步时序设计,所有的触发器都是在同一个时钟节拍下进行翻转。这样就简化了整个设计,后端综合、布局布线的时序约束也不用非常严格。但是在设计与外部设备的接口部分时,大部分
    的头像 发表于 07-24 09:52 3960次阅读
    多<b class='flag-5'>时钟</b>域的<b class='flag-5'>同步</b>时序设计和几种处理<b class='flag-5'>异步</b><b class='flag-5'>时钟</b>域接口的<b class='flag-5'>方法</b>

    IC设计中同步复位与异步复位的区别

    1、什么是同步逻辑和异步逻辑,同步电路异步电路的区别是什么?
    的头像 发表于 11-09 14:58 9285次阅读

    异步同步电路的区别 同步时序设计规则

    产生毛刺,且易受环境的影响,不利于器件的移植; 同步电路 1. 电路的核心逻辑是由各种各样的触发器实现的,所以比较容易使用寄存器的异步复位/置位端,以使整个
    的头像 发表于 12-05 11:53 1.1w次阅读

    如何将一种异步时钟域转换成同步时钟

     本发明提供了一种将异步时钟域转换成同步时钟域的方法,直接使用同步
    发表于 12-21 17:10 5次下载
    如何将一种<b class='flag-5'>异步</b><b class='flag-5'>时钟</b>域转换成<b class='flag-5'>同步</b><b class='flag-5'>时钟</b>域

    Verilog电路设计之单bit跨时钟同步异步FIFO

    FIFO用于为匹配读写速度而设置的数据缓冲buffer,当读写时钟异步时,就是异步FIFO。多bit的数据信号,并不是直接从写时钟同步到读
    发表于 01-01 16:48 999次阅读

    时钟同步的总线电路方案

    、保持(hold)时间的时序关系,电路的输出(布尔值)就是可预测的,这是数字逻辑电路设计的基础。如果 不能满足建立保持时间 ,我们认为输入是 异步 (asynchronous) 信号 。一个
    的头像 发表于 06-23 17:53 1036次阅读
    <b class='flag-5'>时钟</b><b class='flag-5'>同步</b>的总线<b class='flag-5'>电路</b>方案

    同步电路异步电路有何区别

    同步电路异步电路有何区别 同步电路异步
    的头像 发表于 08-27 16:57 6264次阅读

    什么是同步逻辑和异步逻辑?同步电路异步电路有何区别?

    什么是同步逻辑和异步逻辑?同步电路异步电路有何区别? 同步
    的头像 发表于 11-17 14:16 1483次阅读

    异步电路同步电路区别在哪?

    异步电路同步电路区别在哪? 异步电路同步
    的头像 发表于 12-07 10:53 1170次阅读

    异步电路中的时钟同步处理方法

    异步电路中的时钟同步处理方法  时钟同步
    的头像 发表于 01-16 14:42 326次阅读

    同步置数,异步置数,同步清零,异步清零的概念

    同步置数、异步置数、同步清零和异步清零是数字电路设计中常用的概念。 一、同步置数
    的头像 发表于 02-22 13:48 1832次阅读