0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

ddr3的读写分离方法有哪些?

0BFC_eet_china 来源:互联网 作者:佚名 2017-11-06 13:44 次阅读

DDR3是目前DDR的主流产品,DDR3的读写分离作为DDR最基本也是最常用的部分,本文主要阐述DDR3读写分离的方法。最开始的DDR, 芯片采用的是TSOP封装,管脚露在芯片两侧的,测试起来相当方便;但是,DDRII和III就不一样了,它采用的是BGA封装,所有焊点是藏在芯片的底部的,测试起来非常不便,一般需要提前预留测试点。在DDR读写burst分析之前,首先得把read burst和write burst分离出来,读写双向的数据全部都搁在DQS和DQ上。那么,DDR的手册中,留下了那些线索供我们进行都写的分离呢?要实现DDR的快速的便捷的分离,在读写分离之前,我们必须得知道DDR读写信号之间的特征差异。首先,看看SPEC里面的定义:

方法一:preamble的差异在每次的burst之前,DQS会从高阻态切换到一段负脉冲,然后才开始正常的读写。这段负脉冲,我们叫做preamble(preamble实际上是在读写前,DQS提前通知DRAM芯片或者是controller的信号)。一般说来,读数据DQS的preamble宽度要大于写数据。对于DDR3,情况就更简单了。因为在DDR3中,读数据的preamble是负脉冲,写数据的preamble是正脉冲。

方法二:幅度上的差异一般在DRAM端进行测试,写数据从memory controller出来,经过了主板PCB板,内存插槽和内存条PCB板,到达DRAM颗粒的时候,信号已经被衰减了,而读数据刚刚从DRAM出来,还没有经过任何的衰减,因此读数据的幅度要大于写数据。如下图所示方法三:写数据是DQS和DQ centre-align(中间对齐), 读数据DQS和DQ是edge align(边沿对齐),memory controller在接收到内存的读数据时,在controller内部把DQS和DQ的相位错开90度,实现中间对齐来采样(这个过程示波器就看不到咯);方法四:斜率的差异:读数据的斜率大于写数据。一般在DRAM端进行测试,写数据从memory controller出来,经过了主板PCB板,内存插槽和内存条PCB板,到达DRAM颗粒的时候,信号已经被衰减了,所以,斜率也小一些;而读数据刚刚从DRAM出来,还没有经过任何的衰减,因此读数据的斜率要大于写数据。也可以从下图得到区分。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • DDR3
    +关注

    关注

    2

    文章

    268

    浏览量

    41782

原文标题:四种方法搞懂DDR3的读写分离

文章出处:【微信号:eet-china,微信公众号:电子工程专辑】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    DDR3布线参考

    DDR3DDR
    电子学习
    发布于 :2022年12月07日 22:57:54

    DDR3布线参考

    DDR3DDR
    电子学习
    发布于 :2022年12月07日 22:58:53

    ddr3一般上电多久后可对其进行读写操作?

    先用spartan6对ddr3进行读写操作,想知道ddr3一般上电多久后可对其进行读写操作?求大神解答,感谢!
    发表于 06-14 16:13

    cyclone V控制DDR3读写,quartusII配置DDR3 ip核后,如何调用实现DDR3读写呢,谢谢

    DDR3的IP核配置完毕后,产生了好多文件,请问如何调用这些文件实现DDR3读写呢?看了一些文章,说是要等到local_init_done为高电平后,才能进行读写操作。请问
    发表于 01-14 18:15

    基于Xilinx MIS IP的DDR3读写User Interface解析

    基于Xilinx MIS IP的DDR3读写User Interface解析特权同学,版权所有,转载请注明出处参考文档:ug586_7Series_MIS.pdf1. Command时序首先,关于
    发表于 10-13 15:18

    DDR3读写--Spartan-6 x16,感觉data mask问题

    大家好,最近在学习DDR3读写,用的是Spartan-6的 x16,DDR3型号MT41J64M16,在进行write时,地址总是出现两遍,第一遍后面出现data=XXXX,感觉像是data
    发表于 06-28 19:11

    基于FPGA的DDR3六通道读写防冲突设计

    使用内存来缓存一次行情数据信息。行情数据信息容量巨大,片上存储难以满足需求,采用DDR3 SDRAM成为首选方法[1]。但由于DDR3一套数据访问通道,不能满足多个通道同时访问的需
    发表于 08-02 09:32

    基于FPGA的DDR3 SDRAM控制器的设计与优化

    地址存取效率比较低。使读写效率降低的主要原因:同Bank行切换、读写切换、IP核Bank管理方式。故可采取以下方式提高DDR3带宽利用率:(1)降低
    发表于 08-02 09:34

    基于FPGA的DDR3多端口读写存储管理的设计与实现

    ,只需通过用户接口信号就能完成DDR3读写操作。DDR3用户接口仲裁控制模块将中断请求分成多个子请求,实现视频中断和图形中断的并行处理。帧地址控制模块确保当前输出帧输出的是最新写满的帧。结果表明
    发表于 08-02 11:23

    DDR3芯片读写控制及调试总结

    DDR3芯片读写控制及调试总结,1. 器件选型及原理图设计(1) 由于是直接购买现成的开发板作为项目前期开发调试使用,故DDR3芯片已板载,其型号为MT41J256M16HA-125,美光公司生产的4Gb容量
    发表于 07-22 08:33

    怎样对DDR3芯片进行读写控制呢

    怎样对DDR3芯片进行读写控制呢?如何对DDR3芯片进行调试?
    发表于 08-12 06:26

    基于FPGA的DDR3多端口读写存储管理的设计与实现

    读写操作。DDR3用户接口仲裁控制模块将中断请求分成多个子请求,实现视频中断和图形中断的并行处理。帧地址控制模块确保当前输出帧输出的是最新写满的帧。
    发表于 11-18 18:51 6527次阅读
    基于FPGA的<b class='flag-5'>DDR3</b>多端口<b class='flag-5'>读写</b>存储管理的设计与实现

    基于AXI总线的DDR3读写测试

    本文开源一个FPGA项目:基于AXI总线的DDR3读写。之前的一篇文章介绍了DDR3简单用户接口的读写方式:《DDR3
    的头像 发表于 09-01 16:20 2269次阅读
    基于AXI总线的<b class='flag-5'>DDR3</b><b class='flag-5'>读写</b>测试

    基于FPGA的DDR3读写测试

    本文介绍一个FPGA开源项目:DDR3读写。该工程基于MIG控制器IP核对FPGA DDR3实现读写操作。
    的头像 发表于 09-01 16:23 848次阅读
    基于FPGA的<b class='flag-5'>DDR3</b><b class='flag-5'>读写</b>测试

    阐述DDR3读写分离方法

    DDR3是2007年推出的,预计2022年DDR3的市场份额将降至8%或以下。但原理都是一样的,DDR3读写分离作为
    的头像 发表于 10-18 16:03 578次阅读
    阐述<b class='flag-5'>DDR3</b><b class='flag-5'>读写</b><b class='flag-5'>分离</b>的<b class='flag-5'>方法</b>