0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Vivado两种生成固化烧录文件的教程

TLOc_gh_3394704 来源:CSDN技术社区 作者:吃完西瓜舔舔皮儿 2021-08-10 17:13 次阅读

一、生成固化文件1、先生成bit文件,再生成固化文件

2、生成固化文件步骤

A选择当前flash的大小,注意这里是MB,不是Mb。

B选择要生成的固化文件的保存路径,设置名字。

C选择当前芯片的烧录方式

D选择已经生成的bit文件。

E勾选所有。

3、点击OK,生成完成。

二、固化文件1、连接设备,open Device

1、设置参数

选择芯片的参数

注意芯片的电压。

点击OK后出现下面界面

选择固化的文件.Msc和.Prm文件,其他不用设置,点击OK,开始固化。

固化完成后需要关闭设备,断电后重启。

2、连接固化代码后的设备。

添加lit文件,注意是和bit文件在统一目录下。

如果后面重新生成了bit文件,那么固化的文件也需要再重新生成,两者需要对应。

命令行方式

注意:只用一条命令即可:

其实这条命令就是在第一种方法中下图中command命令复制出来的。这里解释一下这条命令。

dcb6fedc-f7b0-11eb-9bcf-12bb97331649.png

write_cfgmem -format mcs -size 128 -interface SMAPx16 -loadbit {up 0x00000000 “M:/led.bit” } -checksum -force -disablebitswap -file “C:/Users/Xilinx/Vivado/led.mcs”

write_cfgmem:顾名思义,这就是写配置存储文件,也就是生成配置存储文件。

format:生成存储文件的格式,这里是 mcs

size:这里指定Flash大小,这里是以Byte为单位

interface:指定Flash接口是SMAPx16

loadbit: 指定生成MCS文件需要的比特流文件,并且指定MCS文件存放起始地址是 0x00000000,地址增长方向是向上增长。

file:指定mcs文件存放地址及文件名

参考链接

ug908

ug835

https://blog.csdn.net/MaoChuangAn/article/details/80763034

编辑:jq

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FlaSh
    +关注

    关注

    10

    文章

    1550

    浏览量

    146645
  • 代码
    +关注

    关注

    30

    文章

    4555

    浏览量

    66751
  • bit
    bit
    +关注

    关注

    0

    文章

    47

    浏览量

    31853

原文标题:【Vivado那些事】Vivado两种生成、固化烧录文件

文章出处:【微信号:gh_339470469b7d,微信公众号:FPGA与数据通信】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    AMD Versal AI Edge自适应计算加速平台之准备工作(1)

    每个工程下面都有一个生成vivado的脚本,用于重建vivado工程,有两种方法可以使用,一是利用批处理文件,右键编辑create_proj
    的头像 发表于 03-06 18:10 603次阅读
    AMD Versal AI Edge自适应计算加速平台之准备工作(1)

    如何禁止vivado自动生成 bufg

    Vivado中禁止自动生成BUFG(Buffered Clock Gate)可以通过以下步骤实现。 首先,让我们简要了解一下什么是BUFG。BUFG是一个时钟缓冲器,用于缓冲输入时钟信号,使其更稳
    的头像 发表于 01-05 14:31 639次阅读

    labview生成exe文件如何配置文件

    LabVIEW是一种用于实时测试、控制和数据采集的编程环境。在开发过程中,您可以使用LabVIEW软件生成可执行文件(.exe),以便在没有LabVIEW开发环境的计算机上运行您的应用程序。生成
    的头像 发表于 12-27 16:28 622次阅读

    keil如何生成bin文件

    Keil是一种集成开发环境(IDE),专为ARM架构的嵌入式系统开发而设计。在Keil中生成bin文件是将代码编译并转换为可执行文件的过程。本文将详细介绍Keil生成bin
    的头像 发表于 12-15 13:43 3179次阅读

    ADgerber文件怎么生成PCB

    生成ADGerber文件是将电路板设计转化为可供PCB加工厂制造的图像文件的过程。下面详细介绍关于如何生成ADGerber文件。 ADGer
    的头像 发表于 12-07 17:19 1416次阅读

    常见的ARM架构分为两种是M系列另外一是A系列,这两种有什么区别啊?

    现在市面上常见的ARM架构分为两种是M系列另外一是A系列,这两种有什么区别啊,用的时候他们一般分别用在什么地方啊。
    发表于 10-26 07:00

    Vivado设计流程指导手册

    Vivado 设计分为 Project Mode 和 Non-project Mode 两种模式,一般简单设计中,我们常用的是 Project Mode。在本手册中,我们将以一个简单的实验案例,一步一步的完成 Vivado的整个
    发表于 09-20 07:37

    Vivado使用指南

    的编译状态,以及Vivado工具的运行状态。3.2.5 流程导航器此窗口可以轻松访问指导设计从开始到结束所需的工具和命令,从项目管理开始,包括设计输入,在程序和调试部分中以比特流文件生成结束,仿真,综合
    发表于 09-06 17:55

    如何在Vivado中配置FIFO IP核

    Vivado IP核提供了强大的FIFO生成器,可以通过图形化配置快速生成FIFO IP核。
    的头像 发表于 08-07 15:36 1926次阅读
    如何在<b class='flag-5'>Vivado</b>中配置FIFO IP核

    用 TCL 定制 Vivado 设计实现流程

    Based Mode)和非工程模式(None Project Mode) 两种,且都能通过 Tcl 脚本批处理运行,或是在 Vivado 图形化界面 IDE 中交互运行和调试。 工程模式 工程模式的关键优势
    发表于 06-28 19:34

    MES50HP——FPGA与CPLD的下载与固化

    1. FPGA&amp;CPLD 的下载 (1)生成位流文件(.sbit)后,可以把.sbit 文件下载到 FPGA 或 CPLD 中,首先将 JTAG下载器与 PCB 板连接并上
    发表于 06-26 10:52

    为EBAZ4205创建Xilinx Vivado文件

    电子发烧友网站提供《为EBAZ4205创建Xilinx Vivado文件.zip》资料免费下载
    发表于 06-16 11:41 1次下载
    为EBAZ4205创建Xilinx <b class='flag-5'>Vivado</b>板<b class='flag-5'>文件</b>

    Vivado布线和生成bit参数设置

    本文主要介绍Vivado布线参数设置,基本设置方式和vivado综合参数设置基本一致,将详细说明如何设置布线参数以优化FPGA设计的性能,以及如何设置Vivado压缩BIT文件
    的头像 发表于 05-16 16:40 3239次阅读
    <b class='flag-5'>Vivado</b>布线和<b class='flag-5'>生成</b>bit参数设置

    【野火 fireFlasher Mini 脱机烧录器】测试烧录速度和远程文件功能

    系。我先在厂家提供的长度条件下做一次测试吧。我找了一个代码量比较大的stm32程序,生成的hex文件大小为1.27M,这对于单片机来说已经比较大了,见图: 测试了烧录器的烧录时间: 具
    发表于 05-07 15:44

    在PADS中怎么放置两种不同孔径的过孔啊?

    在PADS中怎么放置两种不同孔径的过孔啊?
    发表于 04-28 16:45