0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

FPGA应用中部分重配置的操作过程

OpenFPGA 来源:OpenFPGA 作者:OpenFPGA 2021-07-05 15:28 次阅读

Partial Reconfiguration(部分重配置)在现在的FPGA应用中越来越常见,我们这次的教程以Project模式为例来说明部分重配置的操作过程。

这里我们使用的Vivado版本是2017.2,使用的例程是Vivado自带的wavegen工程,并在工程中增加一个计数器模块,如下图所示

3bfd9fd4-dbac-11eb-9e57-12bb97331649.png

在这里插入图片描述

这个模块的代码也很简单,就是加1计数

modulecount_add( inputclk, inputrst, outputreg[7:0]res ); always@(posedgeclk)begin if(rst) res<= 8'b0;     else          res <= res + 1'b1;  end        endmodule

我们要把这个模块当做Reconfiguration Module,把它替换成另外一个module: count_sub,就是每个周期减1计数。

modulecount_sub( inputclk, inputrst, outputreg[7:0]res ); always@(posedgeclk)begin if(rst) res<= 8'b0;     else          res <= res - 1'b1;  end        endmodule

下面开始进行Partial Reconfiguration的配置

首先打开工程,并将其中一个Reconfiguration Module添加到工程中即可,这里我们选择将count_add添加到工程中,选择Tools->Enable Partial Reconfiguration

3c11bd52-dbac-11eb-9e57-12bb97331649.png

在这里插入图片描述

出现下面的对话框,点击Convert。该对话框是指如果使能了Partial Reconfiguration模式,是不能返回到普通模式的。

3c23ca1a-dbac-11eb-9e57-12bb97331649.png

在这里插入图片描述

此时在Flow Navigator的PROJECT MANAGER下面就会出现Partial Reconfiguration Wizard的选项
3c5207ae-dbac-11eb-9e57-12bb97331649.png

在这里插入图片描述

右键要reconfiguration的模块,即inst_count,并选择Create Partition Definition

3c61cb08-dbac-11eb-9e57-12bb97331649.png

在这里插入图片描述

此时,弹出对话框提示我们输入创建的Partition的名字,我们起名为count_demo,点击OK

3c957958-dbac-11eb-9e57-12bb97331649.png

在这里插入图片描述

这时我们可以看到该模块的图标发生了变化,变成了黄色的棱形,如下图所示

3ca58c12-dbac-11eb-9e57-12bb97331649.png

在这里插入图片描述

在这一步我们需要提醒一下,通常我们需要进行PR的模块都是比较复杂的模块,里面很可能会包含IP Core,那样的话我们就不能直接这样操作,比如我们要对该工程中的clk_gen_i0模块进行PR,可以看到,这个模块中包含了clk_core_i0这个IP

3cb66730-dbac-11eb-9e57-12bb97331649.png

在这里插入图片描述
当我们在clk_gen_i0这个模块上右键选择Create Partition Definition时,会提示下面的对话框:
module with out-of-context child module cannot be made into partition definition

3cc3a526-dbac-11eb-9e57-12bb97331649.png

在这里插入图片描述

这个意思是包含有ooc子模块的模块,是不能做成partiton的,Vivado中所有的IP Core都是ooc的模块,因此我们需要把clk_gen_i0这个模块导出成dcp后再使用,具体可以参考我的另一篇文章

Vivado中模块封装成edif和dcp

简单来讲,就是先将这个模块设为top,综合后导出dcp,使用的tcl脚本是

write_checkpoint-noxdef

有几个需要RM(Reconfiguration Module)的模块,就要综合几次,导出几个dcp文件

我看网上也有的教程是直接对整个工程进行综合,然后单独导出RM模块的dcp,使用下面的tcl

write_checkpoint-cell

这两种导出dcp的方式是有区别的,把整个工程进行综合,每个子模块的接口很有可能会有所改变,可能是名字改了,也可能是增加或减少了一些接口。如果我们的几个RM只是接口相同,功能不同的话,这样带有不同RM模块的工程综合的结果可能不一样。因此推荐将RM模块设为top,综合后导出dcp。

另一点需要注意的是,如果使用了dcp文件,我们也添加一个wrapper.v到工程中,因此dcp文件是不能直接进行Create Partition Definition操作的。

选择左侧导航栏的Partial Reconfiguration Wizard,开始添加RM

3cd02850-dbac-11eb-9e57-12bb97331649.png

在这里插入图片描述

这里,点击+号按钮,出现下面对话框,首先点击Add Files,选择count_sub.v;然后输入Reconfiguration Module Name,由于我们只有一个模块,因此top的name可以不填;如下图,Next

3ce11444-dbac-11eb-9e57-12bb97331649.png

在这里插入图片描述

在这一步如果我们使用dcp文件和wrapper文件的话,需要把它们都添加进来

编辑配置,点击automatically create configurations,如果在这个界面没看到这个auto…按钮,就先返回到上一步,再next到这个界面,总会出现的;点击后出现下面的界面:

3d07aa0a-dbac-11eb-9e57-12bb97331649.png

在这里插入图片描述

我们修改配置的名字如下,next

3d360e9a-dbac-11eb-9e57-12bb97331649.png

在这里插入图片描述

配置runs,也是先点击automatically create configuration run

3d434934-dbac-11eb-9e57-12bb97331649.png

在这里插入图片描述

3d5e3fc8-dbac-11eb-9e57-12bb97331649.png

在这里插入图片描述

这个图意思是工程中有两个implention runs,第一个叫impl_1,这个里面跑的是包含有count_add模块的程序;第一个叫child_0_impl_1,这个里面跑的是包含count_sub模块的程序。Next到Finish。

开始综合,完成后点击Open Synthesized Design,并在Vivado右上角,切换到Floorplanning视图

3d8c535e-dbac-11eb-9e57-12bb97331649.png

在这里插入图片描述

邮件inst_count并点击Draw Pblock

3d9a3d0c-dbac-11eb-9e57-12bb97331649.png

在这里插入图片描述

选择一个区域作为Pblock

3dab9b92-dbac-11eb-9e57-12bb97331649.png

在这里插入图片描述

绘制Pblock是有讲究的,其中最简单的两个规则就是:

Pblock区域中包含的资源能可以覆盖我们模块需要的资源

不能与其他的Pblock冲突

当然,还有很多其他的规则,这里就不一一介绍了,如果Pblock没画好,很可能导致后面的DRC和Implementation不过。

关于该更多Pblock的说明,可以参考UG909手册UG909

选中框之后,改一下框的属性,将RESET_AFTER_RECONFIG的勾选中,意思是重新配置后,会复位这个Pblock里面的内容;再将SNAPPING_MODE改为Routing(或者设为On),意思是如果我们的边界选的不太好,Vivado会自动处理,选off的话,就是完全按照我们指定的边界。

3ddaecbc-dbac-11eb-9e57-12bb97331649.png

在这里插入图片描述

点击Tools->Report->Report DRC

3e270e80-dbac-11eb-9e57-12bb97331649.png

在这里插入图片描述

只选择PR即可

3e3aa72e-dbac-11eb-9e57-12bb97331649.png

在这里插入图片描述

如果提示No Violations Found,则说明上面的操作过程没有问题。

Run Implementation,可以看到有两个runs需要进行

补充小知识:Vivado中jobs和threads的区别?选择多个jobs能加快实现速度么?

3e4b2586-dbac-11eb-9e57-12bb97331649.png

在这里插入图片描述

Generate Bitstream,OK

在这一步进行前,Vivado会自动执行pr_verify,并生成_pr_verify.log文件。

对于7系列的FPGA,会在impl_1文件夹下生成两个bit文件:
wave_gen.bit和inst_count_count_add_partial.bit,第一个bit文件是整个工程且包含count_add模块的bit文件,第二个bit文件是当我们需要进行Partial Reconfiguration的时候需要下载的bit文件;在child_0_impl_1文件夹下会生成一个bit文件inst_count_count_sub_partial.bit,是当我们需要进行Partial Reconfiguration的时候需要下载的bit文件。

对于UltraScale系列的FPGA,会在生成*_partial.bit的同时多出来一个*_partial_clear.bit,意思是在进行Partial Reconfiguration的时候,先下载*_partial_clear.bit把那一部分的内容先清空,再下载*_partial.bit进行配置。

使用Project模式的好处就是比较简单,敲的指令也比较少,如果是Non-Project模式,在这中间还需要很多操作,虽然麻烦,但对我们理解它的工作模块很有帮助,有兴趣的同学可以再用Non-Project模式下操作一遍。

文章出处:【微信公众号:OpenFPGA】

责任编辑:gt

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1602

    文章

    21311

    浏览量

    593134
  • 计数器
    +关注

    关注

    32

    文章

    2121

    浏览量

    92964

原文标题:Xilinx FPGA Partial Reconfiguration 部分重配置 详细教程

文章出处:【微信号:Open_FPGA,微信公众号:OpenFPGA】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    PLC控制柜设计原则及构成框图

    梯形图中继电器元件的状态切换只是PLC对存储位的状态数据的操作,如果PLC对常开触头等效的存储位数据赋值为“1”,就完成动合操作过程,同样如对常闭触头等效的存储位数据赋值为“0”,就可完成动断操作过程,切换
    发表于 01-04 09:44 250次阅读
    PLC控制柜设计原则及构成框图

    如何实现AD9970 LVDS串行输出数据的字边界对齐?

    stream中从哪个位置开始,至哪个位置结束,即判断data word的边界。请问:如何配置AD9970的相关寄存器,配合FPGA完成上述对齐操作?请简述一下大致的操作过程,谢谢!
    发表于 01-01 06:36

    Saber与Matlab联合仿真的操作过程介绍

    我们知道,[Saber]()软件在一开始就提供了与Matlab/Simulink的联合仿真功能,工程师们可以在Simulink中验证设计中的软件部分,同时在Saber中验证设计的硬件部分,从而实现软硬件设计验证的完美统一。
    的头像 发表于 12-05 09:43 1239次阅读
    Saber与Matlab联合仿真的<b class='flag-5'>操作过程</b>介绍

    CBS基本操作过程介绍

    数量的增加变得更严峻。 就像如果道路没有交通指挥系统,人们就会将有些道路挤得水泻不通,形成死锁的局面。为解决此问题,一种基于冲突的多机器人路径搜索方法(Conflict-Base search)应运而生。 CBS基本操作过程 CBS由2个搜索过
    的头像 发表于 11-17 16:20 330次阅读
    CBS基本<b class='flag-5'>操作过程</b>介绍

    电气倒闸操作需要注意哪些问题?

    倒闸操作是电气运行人员的重要工作。为了确保操作过程的安全,在倒闸操作过程中必须严格遵守《运行规程》和《安规》,特别是一些易被忽视的细节更要注意。
    的头像 发表于 10-24 12:36 753次阅读

    电气倒闸操作过程需要注意什么?

    验电笔、万用表的表笔等验电器的金属裸露部分不宜过长。如果验电器的金属裸露部分过长,使用者稍有不慎,就会造成带电的金属部分触及其他带电体或设备外壳,造成短路;如果在使用时工具倾斜,则有可能造成相间短路,带来严重后果。
    的头像 发表于 10-16 11:08 426次阅读

    电气设备的倒闸操作过程

    1、电气设备分为运行、热备用、冷备用、检修四种状态。 2、运行状态是指电气设备的隔离开关和断路器均在合闸位置,接地刀闸在分闸位置,电源已经过隔离开关及断路器送至被驱动的电气设备,该设备已经在带电工作。 3、热备用状态是指电气设备的隔离开关在合闸位置,断路器在分闸位置,接地刀闸在分闸位置,电气设备已经具备送电条件和启动条件,断路器一经合闸,电气设备即可转变为运行状态。 4、冷备用状态是指电气设备的隔离开关、断路
    的头像 发表于 09-21 14:53 1024次阅读

    纸张抗张强度测试仪操作过程

    测试纸张的抗张强度是电子设备、家具等制品的设计和材料选择的关键参数之一。纸张在制造这些产品的过程中会用于制作说明书、包装、保护材料等等,而这些用途都需要纸张有一定的强度才能保证产品运输过程中不会
    的头像 发表于 08-02 15:08 610次阅读
    纸张抗张强度测试仪<b class='flag-5'>操作过程</b>

    XILINX FPGA IP之MMCM PLL DRP时钟动态重配详解

    上文XILINX FPGA IP之Clocking Wizard详解说到时钟IP的支持动态重配的,本节介绍通过DRP进行MMCM PLL的重新配置
    发表于 06-12 18:24 6372次阅读
    XILINX <b class='flag-5'>FPGA</b> IP之MMCM PLL DRP时钟动态<b class='flag-5'>重配</b>详解

    演示实验操作过程(3)#操作系统

    操作系统
    学习硬声知识
    发布于 :2023年05月31日 23:37:38

    演示实验操作过程(2)#操作系统

    操作系统
    学习硬声知识
    发布于 :2023年05月31日 23:37:13

    演示实验操作过程(1)#操作系统

    操作系统
    学习硬声知识
    发布于 :2023年05月31日 23:36:48

    易灵思内部重配置实现远程更新

    除通过外部多功能IO来选择之外,易灵思通过内部重配置实现远程更新操作也非常简单。
    的头像 发表于 05-30 09:24 787次阅读
    易灵思内部<b class='flag-5'>重配置</b>实现远程更新

    5G NR RRC协议解析_RRC重配置

      AS安全模式建立完毕后,UE和gNB之间会触发RRC重配置流程。   重配置信令流程如图所示:   那么触发重配置流程的目的以及重配置消息中有哪些关键字段呢?   1 RRC
    发表于 05-10 15:44

    RA6T2 IIR滤波器加速器应用之配置IIRFA

    根据IIRFA的配置,有三种执行通道处理的方法。它们在通道处理开始后从IIRCHnOUT寄存器读取输出数据的操作过程不同。
    的头像 发表于 05-08 17:51 527次阅读
    RA6T2 IIR滤波器加速器应用之<b class='flag-5'>配置</b>IIRFA