0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Vivado中进行ZYNQ硬件部分设计方案

454398 来源:xilinx社区 作者:xilinx社区 2020-11-03 12:33 次阅读

ZYNQ概述

ZYNQ内部包含PS和PL两部分,PS中包含以下4个主要功能模块:

  • Application processor unit (APU)
  • Memory interfaces
  • I/O peripherals (IOP)
  • Interconnect

ZYNQ内部的总体框架如所示,PS中包含2个ARM Cortex-9的内核,一些基本的外设扩展口以及Memory接口。PS和PL的相互通信通过两个通路完成,分别是GP(General Purpose)Ports和HP(High Performance)Ports。

GP Ports包含2个Master接口和2个Slave接口,符合标准的AXI协议数据位宽是32bit。HP Ports包含4个接口,全部是PL作为Master;有两个专用的连接到DDR Controller的接口和一个连接到OCM的接口。HP与GP相比,最大的特点在于有额外的FIFO作为Buffer,可以提高传输效率和数据吞吐量。

所以从功能角度,GP Ports主要用于寄存器的读写以及小数据量的传输;HP Ports用于大量数据的传输,主要是Memory数据的读写。

ZYNQ中最常用的设计思路是将主程序放在PS中完成,在PL中设计相应的逻辑功能作为PS的外设使用,将逻辑设计封装成IP,且每个IP都包含一个标准的AXI-Lite接口。PS对于逻辑设计的控制是通过控制逻辑设计的功能寄存器,进而控制逻辑设计进行相应的操作,同时将工作情况通过状态寄存器返回给PS端。如果逻辑设计与PS端需要进行大量数据的交互,则会在逻辑设计中增加AXI-Full接口,与PS的HP Port相连。

综上,ZYNQ设计的基本流程包含以下步骤:

1. Vivado中搭建ZYNQ平台,完成基本外设控制。
2. 创建逻辑设计,并封装成IP。
3. ZYNQ设计中调用封装的IP。
4. 对设计的IP进行仿真

ZYBO开发板简介

ZYBO是Digilent开发的以XC7Z010-1CLG400C为核心处理器的开发板,其主要功能包括有:

  • 1片32bit位宽,512MB容量的 DDR3
  • 1个 HDMI port
  • 1个VGA source port
  • 1个(1Gbit/100Mbit/10Mbit) Ethernet PHY 与RJ45接口
  • 1个MicroSD slot
  • 1个OTG USB 2.0 PHY
  • 1个外部 EEPROM
  • 1个耳机输出接口和1个麦克风输入接口
  • 1片128Mb QSPI Flash作为加载Flash
  • 1个JTAG接口和1个USB-Converter下载接口
  • GPIO: 6 pushbuttons, 4 slide switches, 5 LEDs
  • 6个 Pmod ports

其板上器件分布情况如图 2和图 3所示。


Vivado中进行ZYNQ硬件部分设计

Step1: Viavdo中选择XC7Z010-1CLG400器件,建立工程。

Step2: 建立Block Design。

Step3: 加入ZYNQ7 Processing System和其他所需要的外设IP。

点击“Add IP”,加入ZYNQ7 Processing System和AXI GPIO,双击IP可以对其进行配置。该实验中ZYNQ7配置使能UART,引脚为MIO48和49,其ZYBO相关电路图如图 5所示。(注:如果需要在Step11中选择Hello World工程,则需要使能UART)。AXI GPIO的位宽设置为4,其余为默认配置。


注意:这里有个地方非常容易出错。在Vivado建立工程选择器件的过程中没有选择ZYBO开发板的配置,而是直接选择的XC7Z010-1CLG400C器件的配置。系统默认的ZYNQ7 Processing System配置中Input Clock Frequency是33.3333MHz,而ZYBO板上为50MHz。此处必须修改过来,否则后面的系统时钟会完全错乱,导致软件工程无法运行。

Step4: 点击“Run Block Automation”,其作用是完成ZYNQ7 Processing System专用引脚的连接,包括FIXED_IO和DDR引脚的连接。

Step5: 点击“Run Connection Automation”,其作用是自动完成ZYNQ与外设的连接,连接是按照工具对于用户所设计系统的理解,如果需要进行修改,可以手动更改Block中的连线。该操作工具会默认增加:

1. AXI interconnect
2. Processor System Reset
3. 自动完成了外设IP的AXI-Lite端口与ZYNQ7 Processing System的连接,默认接法是ZYNQ的FCLK_CLK0作为外设AXI时钟,Processor System Reset产生外设复位信号连接到所有外设的复位端口。
4. 将AXI GPIO的引脚引出。

可以使用“Regenerate Layout”,重新布局Block Design。

Step6: 在“Address Editor”中查看、修改外设在总线上的地址。

Step7: 首先在Block design界面右击弹出的菜单中点击Validate Design,以验证Block Design的设计和连接是否有错误。至此Block Design完成了,但是还需要根据Block Design的配置生成相应的源代码。右击.bd设计,并选择“Create HDL Wrapper”。随后即生成了相应的HDL代码。

Step8: 对于PL端的外接引脚,需要设置相应的Constraints。

Step9: 与普通FPGA设计一样,完成Synthesis、Implementation和Generate Bitstream。

Step10: 将Step9中完成的硬件设计导入到SDK开发平台下。

Step11: 从这一步开始,开发平台转移到SDK平台。此时硬件平台已经确定,接下来是软件的开发。首先在SDK中建立软件工程。


Step12: 在新建工程中完成C代码的设计。

#include
#include
#include "xparameters.h"
#include "sleep.h"
#include "platform.h"

int main()
{
XGpio output;
int Status;
/*
* Initialize the GPIO driver so that it's ready to use,
* specify the device ID that is generated in xparameters.h
*/
Status = XGpio_Initialize(&output, XPAR_GPIO_0_DEVICE_ID);
if (Status != XST_SUCCESS) {
return XST_FAILURE;
}
/* Set the direction for all signals to be outputs */
XGpio_SetDataDirection(&output, 1, 0x0);
init_platform();
while(1){
usleep(200000); //delay
XGpio_DiscreteWrite(&output, 1, 0x0);
usleep(200000); //delay
XGpio_DiscreteWrite(&output, 1, 0xF);
};
cleanup_platform();
return 0;
}

Step13: 首先点击“Program FPGA”,将硬件平台下载到ZYNQ中。

Step14: 运行软件工程进行调试。

在ZYBO板上也能看到LED灯闪烁,至此完成了ZYNQ的一个基本设计的所有开发流程。

DK中进行ZYNQ软件部分设计

首先对“Vivado中进行ZYNQ硬件部分设计”中让LED闪烁的C代码做详细的注释。

int main()

{

/*定义外设对于的类型指针,用于绑定外设,便于后面程序调用时选择

* 外设

*/

XGpio output;

int Status;

/* XGpio_Initialize()函数是xgpio.c中的函数,在BSP Documentation可以

* 查到该函数的描述。

* int XGpio_Initialize(XGpio * InstancePtr, u16 DeviceId)

* InstancePtr为GPIO类型的指针

* DeviceId是在板级配置中已经定义好的外设的ID,该定义包含在BSP的xparameters.h中

* 即在xparameters.h已经为该硬件设计中的每一种类型的多个外设设置了唯一的ID

* 例如设计中如果有2个GPIO外设,则ID分别为0和1.

* 该语句完成之后将ID对应的外设对象与该指针进行了绑定,后面可以通过调用该指针指

* 定到该外设

*/

Status = XGpio_Initialize(&output, XPAR_GPIO_0_DEVICE_ID);

if (Status != XST_SUCCESS) {

return XST_FAILURE;

}

/* void XGpio_SetDataDirection (XGpio * InstancePtr,

* unsigned Channel,u32 DirectionMask )

* InstancePtr:外设指针,用于指定到对应的外设设备,已经与外设绑定

* Channel: 每个AXI_GPIO中可以有两个32bit的GPIO端口,该参数用来

* 选择是该外设中的哪一个端口

* DirectionMask:选择GPIO的方向,0为output,1为input

* 该函数的作用是设置GPIO的方向,如前所述,可以通过output指定到

* 该GPIO外设,

*/

XGpio_SetDataDirection(&output, 1, 0x0);

//初始化ARM内核

init_platform();

while(1){

usleep(200000); //delay

XGpio_DiscreteWrite(&output, 1, 0x0);

usleep(200000); //delay

XGpio_DiscreteWrite(&output, 1, 0xF);

};

cleanup_platform();

return 0;

}

以上调用的这些函数,其定义及使用方法全部可以在BSP(Board Support Package)板级支持包中找到。当在Vivado平台中设计完成硬件,将其导入到SDK平台时,工具会根据硬件设计中使用到的外设,自动生成相应的板级支持包。在SDK的“Project Explorer”界面中可以查看,并且可以在其中打开相应的BSP说明文档,如图 18所示。

但是虽然BSP中提供了所有相关的API函数,但是对于初学者来说,想搭建一个可以实现基本功能的平台还是有些困难。于是另一个方法是利用SDK生成新的Application时提供的Peripheral Test模板。

该模板生成的代码中,在主函数中找到相应外设的测试函数,例如本例中GPIO的测试函数“GpioOutputExample()”,再通过追述该函数的具体实现,可以一定程度上作为最基本的范例代码。

如果再进一步深入到ARM编程的本质,其实与硬件的所有控制和通信都是依靠读写底层的寄存器来完成的。例如如果查看一下“XGpio_SetDataDirection()”函数的底层实现,可以发现逐级调用的分别是“XGpio_WriteReg()”函数和“XGpio_Out32()”函数,而“XGpio_Out32()”调用的是“Xil_Out32()”。 其实“Xil_Out32()”和“Xil_In32()”这两个函数分别是写读底层硬件寄存器的两个函数,所有的上层与底层的寄存器级别的通信,也就是绝大多数的外设控制,都是依靠这两个函数完成的。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1602

    文章

    21320

    浏览量

    593155
  • 寄存器
    +关注

    关注

    30

    文章

    5028

    浏览量

    117712
  • Zynq
    +关注

    关注

    9

    文章

    600

    浏览量

    46619
  • Vivado
    +关注

    关注

    18

    文章

    790

    浏览量

    65093
收藏 人收藏

    评论

    相关推荐

    使用 PCIE 更新 AMD ZYNQ™ 的 QSPI Flash 参考设计

    /r/en-US/ug585-zynq-7000-SoC-TRM 环境需求 硬件环境: ZC706 开发板 Windows10/11 或者 Ubuntu20.04 主机 软件环境: Vivado
    发表于 11-30 18:49

    Zynq评估板及ZED板供电方案

    电子发烧友网站提供《Zynq评估板及ZED板供电方案.pdf》资料免费下载
    发表于 11-13 09:52 0次下载
    <b class='flag-5'>Zynq</b>评估板及ZED板供电<b class='flag-5'>方案</b>

    中频接收机硬件平台的设计方案

    电子发烧友网站提供《中频接收机硬件平台的设计方案.pdf》资料免费下载
    发表于 10-27 10:43 0次下载
    中频接收机<b class='flag-5'>硬件</b>平台的<b class='flag-5'>设计方案</b>

    半主动式电子标签硬件和软件的设计方案

    电子发烧友网站提供《半主动式电子标签硬件和软件的设计方案.pdf》资料免费下载
    发表于 10-23 09:46 0次下载
    半主动式电子标签<b class='flag-5'>硬件</b>和软件的<b class='flag-5'>设计方案</b>

    基于FPGA的PCI硬件加解密卡的设计方案

    电子发烧友网站提供《基于FPGA的PCI硬件加解密卡的设计方案.pdf》资料免费下载
    发表于 10-18 11:18 0次下载
    基于FPGA的PCI<b class='flag-5'>硬件</b>加解密卡的<b class='flag-5'>设计方案</b>

    基于ARM核的双芯片GPs接收机的硬件设计方案

    电子发烧友网站提供《基于ARM核的双芯片GPs接收机的硬件设计方案.pdf》资料免费下载
    发表于 10-18 09:25 0次下载
    基于ARM核的双芯片GPs接收机的<b class='flag-5'>硬件</b><b class='flag-5'>设计方案</b>

    ZYNQ常用外设设计 (上)

    Step3: 按照“Vivado中进行ZYNQ硬件部分设计”中介绍的,直到在SDK中编写C代码步骤。需要使用UART
    的头像 发表于 09-23 09:25 501次阅读
    <b class='flag-5'>ZYNQ</b>常用外设设计 (上)

    德国考虑禁用华为部分设备?

    对此,一名记者回答说:“德国正在考虑禁止华为制造的部分设备,并从2026年开始将其从德国的核心5g网络(5g)中排除。”外交部做出了什么反应?
    的头像 发表于 09-22 10:04 517次阅读

    Vivado Design Suite教程:嵌入式处理器硬件设计

    电子发烧友网站提供《Vivado Design Suite教程:嵌入式处理器硬件设计.pdf》资料免费下载
    发表于 09-15 10:12 1次下载
    <b class='flag-5'>Vivado</b> Design Suite教程:嵌入式处理器<b class='flag-5'>硬件</b>设计

    Vivado使用指南

    是对新建IP core和编辑已封装的IP core;Open HardwareManager:打开硬件管理器,硬件管理器主要功能是连接硬件板卡,进行烧录和调试;Xilinx TCL S
    发表于 09-06 17:55

    使用Zynq平台进行嵌入式软件和FPGA设计时有哪些挑战

    本文介绍了在AMD Xilinx Zynq平台上实现嵌入式软件和FPGA设计的集成工作流程,使用Simulink进行Zynq模型设计,以及使用HDL协同仿真和FPGA-in-Loop进行
    的头像 发表于 08-21 09:46 326次阅读

    如何实现硬件断点呢?

    这个断点调试是不是在仿真中没法运行,只能在实际上板中进行测试?因为我在nucleistudio中设置了断点然后用底层vivado进行仿真并没有实际效果
    发表于 08-11 12:54

    关于E203v2使用vivado进行仿真跑helloworld的时钟频率问题

    vivado中进行e203v2的行为级仿真,跑一个c语言编写的helloworld程序,helloworld程序是用nuclei studio里面的程序模板生成的。在vivado仿真的tb文件里
    发表于 08-11 11:18

    xilinx ZYNQ7000系列基本开发流程之PS端

    工程师负责把 Vivado 工程搭建好,提供好硬件给软件开发人员,软件开发人员便能在这个基础上开发应用程序 ,软件开发人员,不用关心FPGA的部分
    的头像 发表于 08-11 09:36 5382次阅读
    xilinx <b class='flag-5'>ZYNQ</b>7000系列基本开发流程之PS端

    vivado创建工程流程

    vivado的工程创建流程对于大部分初学者而言比较复杂,下面将通过这篇博客来讲解详细的vivado工程创建流程。帮助自己进行学习回顾,同时希望可以对有需要的初学者产生帮助。
    的头像 发表于 07-12 09:26 1318次阅读
    <b class='flag-5'>vivado</b>创建工程流程