0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

郭平:求生存是华为当下关键词,努力寻找到解决方案

牵手一起梦 来源:C114通信网 作者:蒋均牧 2020-05-19 15:14 次阅读

“求生存是华为现在的主题词。”华为轮值董事长郭平在2020年华为全球分析师大会的答问环节表示。

从去年5月16日算起,华为被列入美国政府所谓“实体清单”已经满一年。遭到美方动用国家力量全方位打压的它并未一蹶不振,而是在客户、合作伙伴及员工的理解和支持下负重前行:“我们花了很多时间跟客户、合作伙伴及员工进行沟通、澄清和理解,在技术获得受到极大限制的情况下,我们加大了研发投入,增加了30%的研发投入,其中很多用于所谓的‘飞机补洞’。”

“幸运的是,我们获得了绝大部分客户、合作伙伴以及员工的信任和认可,在这个过程中,我们也积累了一些经验。因此在面对长期、不确定的制裁,我们相信能够努力寻找到解决方案。”他说。

在硬币的另一面,被列入“实体清单”对华为业务有着很大的影响。据郭平披露,事实上华为在2019年并未实现其业务计划,“大概差120亿美元”,合同获得上与以往相比也更加困难。他还表示,华为作为ICT设备和终端公司,并不具备产品设计、集成电路设计之外的很多能力。

根据华为最新发布的年报,该公司2019年实现全球销售收入人民币8588亿元,同比增长19.1%;净利润627亿元,同比增长5.6%;经营活动现金流914亿元,同比增长22.4%。

其2019年的研发费用支出达到人民币1317亿元,同比增长29.8%,约占全年收入的15.3%;近十年累计投入的研发费用超过6000亿元;从事研究与开发的人员约9.6万名,约占公司总人数的49%。

责任编辑:gt

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 集成电路
    +关注

    关注

    5320

    文章

    10732

    浏览量

    353368
  • 华为
    +关注

    关注

    215

    文章

    33619

    浏览量

    247149
  • 设备
    +关注

    关注

    2

    文章

    4172

    浏览量

    69250
收藏 人收藏

    评论

    相关推荐

    深开鸿用三个关键词,为你解读《2023 OpenHarmony 年度运营报告》

    的一笔共同奏响中国基础软件的光辉岁月作为OpenHarmony生态的领军企业深开鸿通过三个关键词带你读懂《2023OpenHarmony年度运营报告》01繁荣202
    的头像 发表于 02-02 17:00 165次阅读
    深开鸿用三个<b class='flag-5'>关键词</b>,为你解读《2023 OpenHarmony 年度运营报告》

    软通动力联合华为云发布基线解决方案

    政策,共同展望生态合作前景。主会场中,重磅发布了2024年“华为云生态伙伴联合解决方案”,软通动力受邀出席发布仪式,与华为云联合发布了软通动力数据中台及数据治理服务解决方案
    的头像 发表于 01-17 10:48 498次阅读

    软通动力成为华为云联合基线解决方案TOP1服务商

    近日,软通动力与华为云长期以来的深入合作、深度协作再结硕果,双方共同设计的企业上云服务解决方案、数据中台及数据治理服务解决方案,顺利通过华为云专家团队评审和认证,正式成为
    的头像 发表于 01-09 10:59 472次阅读
    软通动力成为<b class='flag-5'>华为</b>云联合基线<b class='flag-5'>解决方案</b>TOP1服务商

    测测这10个AI关键词你清楚几个?第4个今年最火

    原文标题:测测这10个AI关键词你清楚几个?第4个今年最火 文章出处:【微信公众号:微软科技】欢迎添加关注!文章转载请注明出处。
    的头像 发表于 12-21 08:15 268次阅读
    测测这10个AI<b class='flag-5'>关键词</b>你清楚几个?第4个今年最火

    中软国际数据治理专业服务解决方案获得华为云联合基线解决方案认证

    近日,中软国际联合华为云生态及技术团队共同设计的数据治理专业服务解决方案成功通过华为云基线解决方案专家团队评审,中软国际数据治理专业服务解决方案
    的头像 发表于 12-20 20:25 566次阅读
    中软国际数据治理专业服务<b class='flag-5'>解决方案</b>获得<b class='flag-5'>华为</b>云联合基线<b class='flag-5'>解决方案</b>认证

    中软国际企业审计解决方案获得华为云联合基线解决方案认证,共同推进“科技强审”建设

    近日,中软国际审计团队联合华为云生态及技术团队共同设计的企业审计解决方案成功通过华为 云基线解决方案专家团队评 审, 中软国际企业审计解决方案
    的头像 发表于 12-13 16:05 197次阅读

    #2023,你的 FPGA 年度关键词是什么? # PWM模块更新

    想起对应的修改模块内部变量的方法,后面找到后再进行补充。 写的还是感觉比较差劲,只能说说慢慢进步吧,自己也是自学不久。
    发表于 12-12 10:47

    #2023,你的 FPGA 年度关键词是什么? # PWM模块基础设计

    由于今天连续多次无法发布该文章,心态真的是崩了,由于基础的PWM比较简单,此次先给大家展示个半成品,完整状态对应的PWM频率、占空比均可调节,对应的模块结构图如下: 对应的基本code如下: modulecreat_PWM ( inputwireclk, //系统时钟为50MHz inputwirerst, inputwirekey_flag1, inputwirekey_flag2, output regPWM ); parameter Frequency_CNT_MAX = 16\'d49_999; //输出PWM为1KHz,1ms=5000*20ns //PWM频率生成计数器模块 reg [15:0] couter; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) couter <= 0; else if( couter == Frequency_CNT_MAX ) couter <= 0; else couter <= couter + 1\'b1; //占空比调节模块 reg [15:0] duty_counter; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) duty_counter <= 16\'d24_999; else if( key_flag1 == 1\'b1 ) duty_counter <= duty_counter + 16\'d49; else if( key_flag2 == 1\'b1 ) duty_counter <= duty_counter - 16\'d49; else duty_counter <= duty_counter; //生成PWM always @(posedge clk or negedge rst) if( rst == 1\'b0 ) PWM <= 1\'b0; else if( duty_counter <= Frequency_CNT_MAX ) PWM <= 1\'b1; else PWM <= 1\'b0; endmodule 由于是第一次在电子发烧友上发文章,体验感觉真的不太友好,希望能够把文章的自动保存功能给加上,否则没有备份真的让人不开心
    发表于 12-06 21:56

    #2023,你的 FPGA 年度关键词是什么? #

    FPGA 年度关键词,我的想法是“标准化”;今年的工作中遇到了不少同事的issues,本身都是小问题或者很细节的东西但是却反复出现问题,目前想到的最好的办法是做好设计规则的标准化才能避免,不知道大家有没有更好的建议?
    发表于 12-06 20:31

    AT32上实现关键词语音识别(KWS)

    AT32上实现关键词语音识别(KWS)本文基于此开源模型和代码,在AT32 MCU 上对KWS 效果进行展示。
    发表于 10-26 07:45

    关键词分析(2)#Python数据分析

    编程python
    未来加油dz
    发布于 :2023年09月02日 18:29:02

    Keysight官网功能升级:快速查找方案的秘诀!

    在您的探索过程中,是否一直在寻找全面的解决方案?不用担心,现在您可以在Keysight官网找到满足您需求的完美答案! 是德科技(Keysight)官网全新的功能叫做 “查找解决方案
    的头像 发表于 08-02 07:50 769次阅读

    . 基于关键词的内容可视化#大数据

    大数据
    未来加油dz
    发布于 :2023年07月18日 13:49:10

    TinyML变得简单:关键词识别(KWS)

    电子发烧友网站提供《TinyML变得简单:关键词识别(KWS).zip》资料免费下载
    发表于 07-13 10:20 2次下载
    TinyML变得简单:<b class='flag-5'>关键词</b>识别(KWS)

    行业方案|数商云交通运输行业SRM供应商管理解决方案

    年我国的货物运输总量达到了49.3亿吨,旅客运输量则达到了40.8亿人次。与此同时,交通行业的市场竞争日益激烈,行业环境也发生了翻天覆地的变化。因此,如何在激烈的市场竞争中寻求生存和发展,逐渐成为该行业的关键问题之一。 二、交通运输
    的头像 发表于 06-07 10:06 407次阅读
    行业<b class='flag-5'>方案</b>|数商云交通运输行业SRM供应商管理<b class='flag-5'>解决方案</b>