电子发烧友网 > 可编程逻辑 > 正文

国产宇航级FPGA芯片发布 震撼吗?

来源:电子发烧友网 作者:Carol Li 2019年01月18日 17:44 次阅读

据报道,北京微电子技术研究所日前成功研制出国内首个自主可控的宇航用千万门级高性能高可靠FPGA芯片。本次发布的两款FPGA分别为950万门的BQR5VSX95T和350万门的BQR5VSX35T。经过ATE测试和板级验证,本次发布的两款FPGA的功能和性能指标均达到国外对标产品水平,相比前一代BQR2V系列FPGA产品,系统容量增加58%,系统性能提升50%,功耗降低40%。

北京微电子技术研究所成立于1994年,隶属于中国航天科技集团公司第九研究院,是国家重点军用电子元器件研制单位,其主要为航天、航空、兵器、船舶、电子、核工业等军工集团用户,提供包括专用电路(ASIC)、片上系统(SoC/SoPC)、微处理器(CPU)、微控制器(MCU)、现场可编程门阵列电路(FPGA)、模数/数模转换器ADC/DAC)、总线电路、射频与微波电路在内的高可靠集成电路、分立器件产品以及基于自主核心芯片的微电子整体解决方案。

FPGA指现场可编程门阵列,是一种半定制电路,具备可编程、可重复配置等优点。简单来说,以前的芯片出厂后,其功能与用途无法再进行调整。而FPGA芯片,可实现功能与用途的调整。比如矿机市场冷却,很多矿机就基本报废了,如果矿机里面有使用FPGA芯片,那么这些芯片就可以经过调整,用在其他领域,可以节省成本和资源。

另外,FPGA还有性能高、功耗低的优势,随着消费电子和通信等终端设备需求总量的增长,人工智能、大数据、云计算、智能汽车以及物联网边缘计算的发展,FPGA市场需求量增加。机构预计,从2017年到2021年,整个FPGA市场将会以年均9%的速度稳步增长,超过100亿美元。

然而,目前FPGA核心技术基本掌握在Xilinx和Altera(被Intel收购)等少数几家公司手上,国产FPGA厂商在技术和市场上都存在很大差距。数据显示,2017年FPGA厂商在中国区的市场份额,Xilinx和Altera占了80%,LatticeMicrosemi分别占13%和2%,国产厂商仅占4%。

据了解,航天、国防等领域对FPGA芯片的需求,也一定程度依赖进口,此次北京微电子技术研究所两款宇航级FPGA芯片BQR5VSX95T和BQR5VSX35T的发布,表明国内在该领域核心技术上取得了进一步的成功。另外,航天级产品与一般军工产品还有所不同,在抗辐照、温差等方面要求更为严格,成本也更高,所以像人工智能、大数据、云计算、智能汽车等新兴市场,还急待紫光同创、上海安陆等FPGA厂商加油攻克。

下载发烧友APP

打造属于您的人脉电子圈

关注电子发烧友微信

有趣有料的资讯及技术干货

关注发烧友课堂

锁定最新课程活动及技术直播

电子发烧友观察

一线报道 · 深度观察 · 最新资讯
收藏 人收藏
分享:

评论

相关推荐

相较于GPU只能处理运算 FPGA能更快速的处理...

NVIDIA 虽凭借通用GPU(GPGPU)登上人工智慧(AI)芯片一哥位置,但竞争对手早已在一旁虎...

发表于 2019-01-18 14:14 5次阅读
相较于GPU只能处理运算 FPGA能更快速的处理...

赛灵思完成了从FPGA器件到平台ACAP的蝶变 ...

灵思首席执行官Victor Peng表示,得益于5G网络、数据中心及汽车等业务需求带动FPGA的出货...

发表于 2019-01-18 14:06 10次阅读
赛灵思完成了从FPGA器件到平台ACAP的蝶变 ...

使用XUPV5-LX110T评估平台在FPGA上实现OpenSparc,很多旧的IP都不可用,请问如何解决?

发表于 2019-01-18 10:24 12次阅读
使用XUPV5-LX110T评估平台在FPGA上实现OpenSparc,很多旧的IP都不可用,请问如何解决?

请问Compact Flash需要配置2个FPGA?

发表于 2019-01-18 08:50 24次阅读
请问Compact Flash需要配置2个FPGA?

明德扬FPGA设计模板系列教程-D触发器、波形、代码

发表于 2019-01-17 17:24 9次阅读
明德扬FPGA设计模板系列教程-D触发器、波形、代码

关于MPU, FPGA SoC以及eFPGA

有不少喜欢将FPGA与MPU做比较,其实应用有很大不同。FPGA适合行业个性化定制,如协议、前后仿功...

发表于 2019-01-17 17:18 314次阅读
关于MPU, FPGA SoC以及eFPGA

整理了一些FPG的知识点和FPGA的进阶路线

熟习一门硬件设想言语(VHDL或Verilog HDL),由于不论在哪种运用范围,HDL言语都是FP...

发表于 2019-01-17 11:44 160次阅读
整理了一些FPG的知识点和FPGA的进阶路线

什么是保税的IOB?

发表于 2019-01-17 10:48 21次阅读
什么是保税的IOB?

怎么知道一个FPGA的良好工作限制的状态数量

发表于 2019-01-17 09:18 90次阅读
怎么知道一个FPGA的良好工作限制的状态数量

AD9117输出端有脉冲毛刺

发表于 2019-01-17 08:19 12次阅读
AD9117输出端有脉冲毛刺

芯航线FPGA开发板焊接调试记录

发表于 2019-01-17 06:35 36次阅读
芯航线FPGA开发板焊接调试记录

FPGA+VS1003+flash方案,播放音频文件耳机没有任何声音

发表于 2019-01-16 23:10 19次阅读
FPGA+VS1003+flash方案,播放音频文件耳机没有任何声音

SiliconBlue计划生产针对便携消费电子市...

传统型基本具备高性能、传输速度快的特点,因此这些产品都具有DSP(数字信号处理)和高速传输I/O接口...

发表于 2019-01-16 14:40 41次阅读
SiliconBlue计划生产针对便携消费电子市...

帕克太阳探测器上的FPGA被广泛应用于航空航天领...

8年前,NASA和约翰霍普金斯大学联合立项,投入15亿美元打造一款绕日探测卫星。2018年,这款名为...

发表于 2019-01-16 14:16 94次阅读
帕克太阳探测器上的FPGA被广泛应用于航空航天领...

使用FPGA通过SPI总线控制AD9266寄存器无法改变寄存器值

发表于 2019-01-16 11:52 34次阅读
使用FPGA通过SPI总线控制AD9266寄存器无法改变寄存器值

FPGA的一些学习资料

发表于 2019-01-15 21:06 48次阅读
FPGA的一些学习资料

英特尔FPGA中国创新中心展示FPGA应用超过1...

FPGA指现场可编程门阵列,英特尔FPGA中国创新中心展示了超过100个FPGA应用,涉及人工智能、...

发表于 2019-01-15 17:07 351次阅读
英特尔FPGA中国创新中心展示FPGA应用超过1...

一种基于ARM和FPGA的线阵CCD在线测量线缆...

近几年来,电线、电缆、光纤等产品的需求量大大增加,外径尺寸的质量控制成为许多生产厂家急需解决的问题。...

发表于 2019-01-15 14:35 89次阅读
一种基于ARM和FPGA的线阵CCD在线测量线缆...

SoC FPGA大幅度提高了系统性能 降低了功耗...

公司2011年12日发布其基于ARM的SoC 系列产品,在单芯片中集成了28-nm Cyclone ...

发表于 2019-01-14 14:48 122次阅读
SoC FPGA大幅度提高了系统性能 降低了功耗...

未来性能增长需依赖架构上改变 因此需要用FPGA...

摩尔定律从2003年开始放缓。为了延续性能倍增、功耗减半,Intel CPU采用多核来实现。然而,到...

发表于 2019-01-14 13:58 137次阅读
未来性能增长需依赖架构上改变 因此需要用FPGA...

福禄克测试工具这么早就用了赛灵思FPGA

ES 网络通虽然只有手掌大小,不到2斤重,但却具有非常强大的故障诊断能力。 这个产品给福禄克赢得了非...

发表于 2019-01-12 11:26 541次阅读
福禄克测试工具这么早就用了赛灵思FPGA

FPGA电路板设计的挑战怎么克服

你面临的第一个问题当然是供应商和器件的选择。通常供应商决策倾向于你以前接触最多的那家——如果你是一位...

发表于 2019-01-12 10:22 140次阅读
FPGA电路板设计的挑战怎么克服

「MINIEYE」与 Xilinx 达成战略合作

自动驾驶感知系统研发商「MINIEYE」已与 FPGA 芯片技术巨头 Xilinx(赛灵思)达成战略...

发表于 2019-01-11 10:41 175次阅读
「MINIEYE」与 Xilinx 达成战略合作

基于FPGA的CPCI系统设计和实现方案

本文提出了一种基于FPGA的CPCI系统的设计和实现,使用廉价FPGA芯片实现CPCI通信协议,同时...

发表于 2019-01-06 11:37 171次阅读
基于FPGA的CPCI系统设计和实现方案

基于有限状态机的FPGA DSR路由表项设计和实...

动态源路由协议(Dynamic Source Routing)[3]是一种按需路由协议,是十分适用于...

发表于 2019-01-06 11:33 157次阅读
基于有限状态机的FPGA DSR路由表项设计和实...

Xilinx FPGA常用原语介绍

项目中主要用到的原语与IO端口有关,所以基本在Input/Output Functions 和IO两...

发表于 2019-01-06 11:23 221次阅读
Xilinx FPGA常用原语介绍

基于FPGA的极化码的SCL译码算法研究

极化码的译码算法研究近年来发展迅速,其中成为研究热点的连续删除(Successive Cancell...

发表于 2019-01-06 11:19 183次阅读
基于FPGA的极化码的SCL译码算法研究

四个常用的集成电路浅析

ASIC原本就是专门为某一项功能开发的专用集成芯片,比如摄像头里面的芯片,小小的一片,集成度很低,成...

发表于 2019-01-06 09:35 225次阅读
四个常用的集成电路浅析

AI芯片什么是AI芯片的架构、分类及关键技术概述

人工智能芯片目前有两种发展路径:一种是延续传统计算架构,加速硬件计算能力,主要以 3 种类型的芯片为...

发表于 2019-01-05 09:15 643次阅读
AI芯片什么是AI芯片的架构、分类及关键技术概述

基于LabVIEW FPGA模块程序设计特点的F...

为了解决基于LabVIEWFPGA模块的DMAFIFO深度设定不当带来的数据不连续问题,结合LabV...

发表于 2019-01-04 14:25 148次阅读
基于LabVIEW FPGA模块程序设计特点的F...

基于Nios II嵌入式系统的FPGA配置文件下...

现场可编程门阵列(FPGA,Field Programmable Gate Array)是一种高密度...

发表于 2019-01-04 14:15 109次阅读
基于Nios II嵌入式系统的FPGA配置文件下...

FPGA已远远超出了现在体系结构的探索 为未来的...

该系列器件现在包括从基本的可编程逻辑一直到复杂的SoC。在各种应用领域(包括汽车,AI,企业网络,航...

发表于 2019-01-03 15:38 375次阅读
FPGA已远远超出了现在体系结构的探索 为未来的...

【限时下载】赛灵思开发者大会,资料大集锦!

赛灵思开发者大会北京站的全部演讲内容现已开放,现整理供大家下载学习

发表于 2019-01-03 15:32 0次阅读
【限时下载】赛灵思开发者大会,资料大集锦!

FPGA和DSP明争暗斗 意图抢占20亿美元高性...

经过20多年的努力后,在工艺技术进步和市场需求的推动下,“大器晚成”的FPGA终于从外围逻辑应用进入...

发表于 2019-01-03 15:32 659次阅读
FPGA和DSP明争暗斗 意图抢占20亿美元高性...

基于FPGA的均值滤波算法的实现

  我们为了实现动态图像的滤波算法,用串口发送图像数据到FPGA开发板,经FPGA进行图像处理算法后...

发表于 2019-01-02 16:26 135次阅读
基于FPGA的均值滤波算法的实现

FPGA为嵌入式设计带来了强大的功能与灵活性

尽管 FPGA 为嵌入式设计带来了强大的功能与灵活性,但额外的开发流程也给设计工作增加了新的复杂性和...

发表于 2019-01-02 15:29 181次阅读
FPGA为嵌入式设计带来了强大的功能与灵活性

基于SRAM的FPGA的问世标志着现代可重构技术...

由于数字逻辑系统功能复杂化的需求,单片系统的芯片正朝着超大规模、高密度的方向发展。对于一个大规模的数...

发表于 2019-01-02 15:10 85次阅读
基于SRAM的FPGA的问世标志着现代可重构技术...

蜂鸟FPGA开发板及蜂鸟JTAG下载器讲解说明:...

本系列主要就蜂鸟FPGA开发板及蜂鸟JTAG下载器进行讲解说明。由于RTOS需要占用一定系统资源,只...

发表于 2019-01-02 15:06 469次阅读
蜂鸟FPGA开发板及蜂鸟JTAG下载器讲解说明:...

FPGA的蜕变之旅——7nm的ACAP

虽然FPGA一直在数十亿美元的小众市场行走,在整个千亿元级IC大盘中只占据一隅,但并不妨碍它的追逐之...

发表于 2019-01-01 10:10 256次阅读
FPGA的蜕变之旅——7nm的ACAP

并行PCB设计有哪些关键准则和评估应考虑的四个问...

随着它们承载的器件的复杂性提高,PCB设计也变得越来越复杂。相当长一段时间以来,电路设计工程师一直相...

发表于 2018-12-31 10:06 637次阅读
并行PCB设计有哪些关键准则和评估应考虑的四个问...

通过与英特尔技术相结合 FPGA可以更好地充分释...

众所周知,人才是城市建设的主力军,但是只有户口没有产业,人才也无法真正扎根,因此吸引人才的关键并不只...

发表于 2018-12-30 17:20 516次阅读
通过与英特尔技术相结合 FPGA可以更好地充分释...

英特尔全球最大的FPGA创新中心落户重庆,除了A...

人工智能对算力的需求吸引了众多公司研发AI芯片,但仅靠一种芯片难以满足AI需求,因此,无论是传统架构...

发表于 2018-12-29 16:10 742次阅读
英特尔全球最大的FPGA创新中心落户重庆,除了A...

在OpenBus系统基础上的FPGA嵌入式设计方...

现场可编程门阵列FPGA(Field Programmable Gate Array)是美国Xili...

发表于 2018-12-29 15:07 414次阅读
在OpenBus系统基础上的FPGA嵌入式设计方...

一种使用ARM+FPGA高速访问USB设备的设计...

目前FPGA通过USB接口获取USB设备中数据的方案大致分为两大类,一类为在FPGA内部实现USB设...

发表于 2018-12-29 15:00 514次阅读
一种使用ARM+FPGA高速访问USB设备的设计...

仿真软件ModelSim及其应用,ModelSi...

ModelSim不仅可以用于数字电路系统设计的功能仿真,还可以应用于数字电路系统设计的时序仿真。 M...

发表于 2018-12-29 11:35 841次阅读
仿真软件ModelSim及其应用,ModelSi...

教你如何轻松玩转FPGA开发

FPGA代码其中一个最重要的步骤就是仿真。仿真简单的说,就是验证代码是否正确,其中就包含了很多仿真的...

发表于 2018-12-29 10:29 1951次阅读
教你如何轻松玩转FPGA开发

一种基于Xilinx FPGA的部分动态可重构技...

随着现代通信技术的迅速发展,信号的调制方式向多样化发展,解渊技术也随之不断向前发展。为了对高速大带宽...

发表于 2018-12-28 15:33 470次阅读
一种基于Xilinx FPGA的部分动态可重构技...

Arduino再次向世界证明:没有Arduino...

Vidor中使用的Intel Cyclone 10CL016 FPGA具有16,000个逻辑单元,5...

发表于 2018-12-28 15:23 1186次阅读
Arduino再次向世界证明:没有Arduino...

由于经济下滑损及开发预算减少 嵌入式系统设计者正...

由于经济下滑损及开发预算减少,嵌入系统设计者正在转向FPGA(现场可编程门阵列)技术,以缩减开发周期...

发表于 2018-12-27 14:54 828次阅读
由于经济下滑损及开发预算减少 嵌入式系统设计者正...

FPGA的奇点正在来临 英特尔顺应时势选择戴尔易...

近年来,伴随着AI人工智能、深度学习、神经网络等技术的突飞猛进,可编程的“万能芯片”FPGA(Fie...

发表于 2018-12-27 14:34 611次阅读
FPGA的奇点正在来临 英特尔顺应时势选择戴尔易...

系统设计日益复杂 要求高性能FPGA的设计与PC...

复杂度日益增加的系统设计要求高性能FPGA的设计与PCB设计并行进行。通过整合FPGA和PCB设计工...

发表于 2018-12-26 15:50 523次阅读
系统设计日益复杂 要求高性能FPGA的设计与PC...

基于FPGA的可重构系统设计及结构分析详解

电子系统功能实现的模式不外硬件和软件两种。基于冯。诺依曼或者哈佛体系结构的通用微处理器(MPU、MC...

发表于 2018-12-26 15:40 542次阅读
基于FPGA的可重构系统设计及结构分析详解

半导体一周要闻:中微董事长尹志尧荣登“2018年...

越来越多的中国企业尝试进入芯片制造领域,2018年来,国内相继7家功率半导体制造厂开工,有华虹宏力无...

发表于 2018-12-25 17:16 2795次阅读
半导体一周要闻:中微董事长尹志尧荣登“2018年...

关于FPGA在开源方面的探索浅析

开源硬件领域MCU板卡很火,著名的Arduino、树莓派(Raspberry Pi)、Micro:b...

发表于 2018-12-25 15:44 574次阅读
关于FPGA在开源方面的探索浅析

Achronix推出全新EFPGA项目,帮工程师...

基于现场可编程门阵列(FPGA)的硬件加速器器件和高性能嵌入式FPGA半导体知识产权(eFPGA I...

发表于 2018-12-24 14:47 506次阅读
Achronix推出全新EFPGA项目,帮工程师...

大牛分享嵌入式学习经历和心得

作为一名在嵌入式行业摸爬滚打许久的老鸟,回想自己的经历之路,那么漫长可又仿佛近在眼前。随着学生的日益...

发表于 2018-12-24 09:45 650次阅读
大牛分享嵌入式学习经历和心得

Achronix新一代嵌入式FPGA IP为AI...

2016年,Achronix推出的Speedcore成为首款向客户出货的嵌入式FPGA(eFPGA)...

发表于 2018-12-23 16:29 1025次阅读
Achronix新一代嵌入式FPGA IP为AI...

关于高速RS 232/422信号仿真器的设计详细...

随着我国航空技术的不断发展,我国新研制了众多不同型号和不同用途的飞机。这些飞机以及这些飞机上电子设备...

发表于 2018-12-23 16:19 508次阅读
关于高速RS 232/422信号仿真器的设计详细...

英特尔的全新芯片架构和六大技术新动向战略说明

北京时间12月12日对英特尔来说大事连连,在北京,正举办20岁生日的英特尔中国研究院的隔壁楼房着了大...

发表于 2018-12-23 14:29 1153次阅读
英特尔的全新芯片架构和六大技术新动向战略说明

FPGA成数百家嵌入式视觉企业开发首选 用于机器...

已有几家初创企业在开发专门的机器学习芯片,但上述这些应用不仅仅要集成机器学习,还有计算机视觉,传感器...

发表于 2018-12-23 14:03 1472次阅读
FPGA成数百家嵌入式视觉企业开发首选 用于机器...

eFPGA的工作方式与FPGA芯片类似 其中有可...

这七家公司基本囊括了3种商业模式和技术实现途径,Achronix算是同时提供FPGA和eFPGA的公...

发表于 2018-12-23 13:51 216次阅读
eFPGA的工作方式与FPGA芯片类似 其中有可...

人工智能推动FPGA发展,各大科技巨头各有发展布...

在人工智能芯片应用上,多种技术路线竞相碰撞,各呈优劣。谷歌、地平线等厂商基于ASIC定制化方案,针对...

发表于 2018-12-23 10:03 1237次阅读
人工智能推动FPGA发展,各大科技巨头各有发展布...

Xilinx FPGA时钟信号的分配策略

如果输入信号需要反相,则要尽可能的调用输入带反相功能的符号,而不是使用分离的反相器来进行反相

发表于 2018-12-22 15:05 168次阅读
Xilinx FPGA时钟信号的分配策略

基于FPGA加速的两位资深玩家联合

FPGA是加速许多类型计算工作负载的出色平台,特别是那些数据通路适用于大规模并行运算的工作负载。FP...

发表于 2018-12-22 14:12 111次阅读
基于FPGA加速的两位资深玩家联合

一种基于FPGA的带死区的SPWM波形产生的设计...

PWM(Pulse Width Modulation)是通过调节输出波形的脉冲宽度来改变输出电压大小...

发表于 2018-12-21 15:36 728次阅读
一种基于FPGA的带死区的SPWM波形产生的设计...

英特尔深度聚集产业资源 加速以FPGA为核心的全...

2018年12月19日,重庆——“英特尔FPGA中国创新中心”(简称:创新中心)今天在重庆举办了盛大...

发表于 2018-12-21 15:24 236次阅读
英特尔深度聚集产业资源 加速以FPGA为核心的全...

国产FPGA的新机会和旧问题

FPGA因为具有时延小、灵活性高等特点,在通信、工业、汽车等领域都有广泛应用,在不久前的2018年F...

发表于 2018-12-21 15:22 2362次阅读
国产FPGA的新机会和旧问题

英特尔FPGA中国创新中心正式落成开幕 中国FP...

因为拥有高性能、低功耗和灵活性强等优势,FPGA从面世以来就受到了广发开发者的欢迎。尤其是在近年来大...

发表于 2018-12-21 15:20 206次阅读
英特尔FPGA中国创新中心正式落成开幕 中国FP...

Vivado FPGA设计基础操作流程:Viva...

选择器件或者板卡。Parts表示器件,当然如果是板卡就点击Boards。器件可以根据系列去选,也可以...

发表于 2018-12-21 10:44 735次阅读
Vivado FPGA设计基础操作流程:Viva...

英特尔、FPGA、重庆这三者到底能产生怎样的火花

标题这个问句有三个关键词——英特尔、FPGA、重庆,这三者组合在一起会有怎样的化学反应呢?

发表于 2018-12-21 08:57 903次阅读
英特尔、FPGA、重庆这三者到底能产生怎样的火花

TMP411 ±1°C Programmable...

TMP411设备是一个带有内置本地温度传感器的远程温度传感器监视器。远程温度传感器,二极管连接的晶体管通常是低成本,NPN或PNP型晶体管或二极管,是微控制器,微处理器或FPGA的组成部分。 远程精度为±1 °C适用于多个设备制造商,无需校准。双线串行接口接受SMBus写字节,读字节,发送字节和接收字节命令,以设置报警阈值和读取温度数据。 TMP411器件中包含的功能包括:串联电阻取消,可编程非理想因子,可编程分辨率,可编程阈值限制,用户定义的偏移寄存器,用于最大精度,最小和最大温度监视器,宽远程温度测量范围(高达150°C),二极管故障检测和温度警报功能。 TMP411器件采用VSSOP-8和SOIC-8封装。 特性 ±1°C远程二极管传感器 ±1°C本地温度传感器 可编程非理想因素 串联电阻取消 警报功能 系统校准的偏移寄存器 与ADT7461和ADM1032兼容的引脚和寄存器 可编程分辨率:9至12位 可编程阈值限...

发表于 2018-09-19 16:35 15次阅读
TMP411 ±1°C Programmable...

TMP468 具有引脚可编程的总线地址的高精度远...

TMP468器件是一款使用双线制SMBus或I 2 C兼容接口的多区域高精度低功耗温度传感器。除了本地温度外,还可以同时监控多达八个连接远程二极管的温度区域。聚合系统中的温度测量可通过缩小保护频带提升性能,并且可以降低电路板复杂程度。典型用例为监测服务器和电信设备等复杂系统中不同处理器(如MCU,GPU和FPGA)的温度。该器件将诸如串联电阻抵消,可编程非理想性因子,可编程偏移和可编程温度限值等高级特性完美结合,提供了一套精度和抗扰度更高且稳健耐用的温度监控解决方案。 八个远程通道(以及本地通道)均可独立编程,设定两个在测量位置的相应温度超出对应值时触发的阈值。此外,还可通过可编程迟滞设置避免阈值持续切换。 TMP468器件可提供高测量精度(0.75°C)和测量分辨率(0.0 625°C)。该器件还支持低电压轨(1.7V至3.6V)和通用双线制接口,采用高空间利用率的小型封装(3mm×3mm或1.6mm×1.6mm),可在计算系统中轻松集成。远程结支持-55°C至+ 150°C的温度范围。 特性 8通道远程二极管温度传感器精度:±0.75&...

发表于 2018-09-18 16:05 19次阅读
TMP468 具有引脚可编程的总线地址的高精度远...