电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>业界新闻>行业新闻>近期IC行业的五个重大新闻

近期IC行业的五个重大新闻

123下一页全文
收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

IC设计厂商去库存不及预期,三季度恐将“旺季不旺”,PC、手机需求持续低迷!

电子发烧友网报道(文/李弯弯)据台媒报道,近期业界传出消息称,消费端库存比预期多,IC设计业近期恐难扭转劣势,今年第三季度恐出现罕见的“旺季不旺”的景象,特别是与手机消费应用端紧密关联、营收占比超过
2023-04-26 09:14:131420

比较实用的钣金行业ERP

 钣金行业ERP是针对钣金制造企业的特定需求而设计的一款企业资源规划软件。它能够帮助企业实现生产过程的控制、物料追踪、成本核算、订单管理等一系列业务需求,从而提高企业的生产效率和竞争力
2024-03-18 09:59:26

IC datasheet为什么越来越薄了?

刚毕业的时候IC spec动则三四百页甚至一千页,这种设置和使用方法很详尽,但是这几年IC datasheet为什么越来越薄了,还分成了IC功能介绍、code设置、工厂量产等等规格书,很多东西都藏着掖着,想了解IC什么东西都要发邮件给供应商,大家有知道这事为什么的吗?
2024-03-06 13:55:43

爆料!手持机三大新品汇总,迎来重大更新

春暖花开的时候到了,你是否在郊游、旅游、露营,一定非常的舒服吧!在这舒服的四月份,小编偷偷告诉你一个好消息亿道发布了新品手持机啦!这次有着不同的突破,不同的体验,不同的想法!这么多的不同,你确定不进来看看吗! 四月份,新品层出不穷!你的手持机是不是需要考虑换一换了!要换就要换新品亿道的手持机供你选择! 第一款: EM-T40: 以WMS 、生产线 MES 、固定资产库存、物流运输站、快递等场景为中心设计的EM -T40 强化手持终端
2024-03-04 10:38:5335

普洛帝近期发布流体颗粒管控技术白皮书

普洛帝近期发布了流体颗粒管控技术白皮书,这份白皮书对流体颗粒管控技术进行了全面深入的解析,为相关行业提供了有力的技术支持。
2024-02-29 16:09:3182

相较于ChatGPT,Sora的算力需求有多大?安全问题谁来解决?

2 月 16 日,AI 行业再一次迎来震惊四方的大新闻:OpenAI 发布了 Sora。
2024-02-29 15:36:48357

紫光展锐携手通则康威推出两大新

紫光展锐与通则康威再度联手,共同推出了两大新品:5G CPE ZTL S200与5G工业网关ZLT IR40M,均搭载了紫光展锐业界领先的V620芯片平台。这两款产品的发布,标志着5G技术在宽带接入和工业物联网领域的应用取得了新的突破。
2024-02-29 14:12:47225

紫光展锐联合通则康威发布搭载V620芯片的两大新

2月26日,紫光展锐联合通则康威共同发布搭载紫光展锐V620芯片平台的两大新品:5G CPE ZTL S200与5G工业网关ZLT IR40M。
2024-02-27 10:42:19125

想将CY8CMBR3106S用于2滑块,这个IC是否支持2滑块同时工作?

我想将 CY8CMBR3106S 用于 2 滑块,这个 IC 是否支持 2 滑块同时工作,我可以同时触摸它们并获得触摸数据? 谢谢。
2024-02-26 06:41:02

三防加固平板在房地产行业的应用

近期,有一款引人注目的解决方案——亿道三防onerugged平板电脑,它以其出色的性能和多功能的设计,为房地产行业带来了全新的应用体验。
2024-02-25 16:22:3994

2024年工业行业转型展望

行业变革中的挑战与机遇 2024年将是全球工业格局发生重大变化的一年。CADENAS着眼于最重要的大主题:数字化转型、技能短缺、供应链、可持续发展和人工智能(AI)。这些领域为全球公司带来了挑战
2024-02-23 16:55:32

我国在光储行业取得重大突破“超级光盘”诞生了!

行业芯事
电子发烧友网官方发布于 2024-02-23 11:19:08

Autosilicon发布24通道电池诊断IC用于测量高容量电芯

据麦姆斯咨询报道,Autosilicon继去年发布14通道电池诊断IC (BDIC)之后,近期又发布了24通道电池诊断IC,用于电动汽车(xEV)和储能系统(ESS)中的高容量电芯。
2024-02-23 09:32:36139

可视化管理的机械金ERP

影响企业的整体经营效益。  而市场竞争激烈、产品结构复杂、工艺多变、人工成本上涨等情况也使得机械五金行业的经营管理面临诸多挑战,在这个时代背景之下,hblsubq
2024-02-21 11:32:33

什么是负载开关IC?使用负载开关IC的优点 负载开关IC的便捷功能

负载开关IC是以串联方式插入电源与负载电路或IC之间的一个半导体开关。
2024-02-17 15:57:00461

可以二次开发的金压铸ERP

      不同类型的金压铸产品有不同的规格、质量、销售渠道、价格策略等,日常经营管理过程中存在的难点复杂多样。  近些年社会可的发展进步也不断推动金压铸行业
2024-01-26 10:52:50

适配性强的电子压铸行业ERP

据分析生产全过程,是普遍存在于压铸生产企业内部的管理难点。  经过多年的发展,现如今信息和智能技术也被广泛的应用在诸多行业,在这个时代背景之下,不少压铸企业选择利用
2024-01-26 10:23:56

紫光展锐接连斩获多项行业重要荣誉

近期,紫光展锐凭借在技术和产品创新领域的实力和成果,接连斩获多项行业重要荣誉。
2024-01-20 17:21:00909

光伏行业近期的几个传说与真相

今年1月,国内组件排产约41GW,相比去年12月48GW的产量下滑约15%。整体开工率则由56%下降至50%。接下来的2月,当月天数较少且大多工厂春节假期未定,估算国内排产规模可能在35 GW至37 GW。
2024-01-17 16:44:16512

SOLIDWORKS 3D CAD 2024的10大新增功能

随着技术的不断进步,SOLIDWORKS,这一知名的3D CAD(计算机辅助设计)解决方案,也在不断发展和创新。近日,SOLIDWORKS发布了其新版本——SOLIDWORKS 2024,带来了许多令人兴奋的新功能和改进。下面,我们将深入探讨SOLIDWORKS 3D CAD 2024的10大新增功能。
2024-01-17 14:01:13283

IC设计行业迎转机,谁有涨势?

近年来,半导体行业在市况走弱的压力下导致价格普遍下滑,甚至降价压力转嫁给晶圆代工业者。然而,近期传出的消息显示,部分半导体产品价格或将出现反弹,引起了业内对于2024年半导体领域价格走势的关注
2024-01-02 15:42:38156

最高涨价50%!盘点近期涨价的芯片大厂

行业芯事行业资讯
深圳市浮思特科技有限公司发布于 2024-01-02 15:41:40

近期上市新机的信号测量和性能表现对比

近期各品牌新机陆续上市,发烧友们是不是很想了解它们的性能表现呢?
2024-01-02 10:42:42489

圣邦微电子获评“国产模拟IC行业产业引领卓越奖”

由世纪电源网主办的第二届电源行业配套品牌颁奖晚会于 12 月 23 日圆满落幕,圣邦微电子荣获“国产模拟IC行业产业引领卓越奖”。
2023-12-29 10:07:25263

芯海科技荣获“国产模拟IC行业技术突破卓越奖”

近日,芯海科技在“第十四届亚洲电源技术发展论坛暨第二届年度电源行业配套品牌颁奖典礼上”荣获了“国产模拟IC行业技术突破卓越奖”。这充分体现了该公司在电源管理以及BMS细分领域的前沿技术创新实力以及
2023-12-27 13:56:59275

芯海科技荣膺“国产模拟IC行业技术突破卓越奖”

领域卓越的技术创新和突出的市场表现,荣获“国产模拟IC行业技术突破卓越奖”。芯海BMS核心能力高精度ADC+高可靠性MCUBMS管理芯片对高精度的ADC和高可靠性M
2023-12-26 08:15:59229

时擎科技荣获2024 IC风云榜“年度新锐公司”

新锐公司奖”。时擎科技总裁于欣先生上台领奖(右二)“年度新锐公司奖”是拥有核心技术与创新能力的行业佼佼者。IC风云榜“年度新锐公司”旨在表彰本年度行业异军突起的新兴
2023-12-19 08:16:23249

为旌科技荣获IC风云榜年度技术突破奖

IC风云榜中的“年度技术突破奖”,是鼓励那些在行业内开创先河,实现独特技术创新,达到先进乃至领先国际水准,且在日后有望带来重大社会经济效益,对于保障我国集成电路产业链的自主性和安全性有着深远影响的企业。
2023-12-18 14:20:54221

智慧农田高效节水智能精准灌溉通球阀

智慧农田高效节水智能精准灌溉通球阀采购须知温馨提示:本产品不支持网上订购,产品均以实际配置计价为准,网上标价均为统一虚价,给您造成的不便还请谅解!具体价格请沟通后计算配置而定,谢谢!智慧农田高效
2023-11-30 17:29:08

RK3568J“麒麟”+“翼辉”国产系统正式发布,“鸿蒙”也正在路上!

SP1) + 翼辉嵌入式操作系统SylixOS(V2.3.12)!国产系统作为信创关键行业的关键基础软件,在工业领域发挥重大作用。创龙科技RK3568J平台“硬件+ 软件”从里到外都是全国产,它将在国产化
2023-11-30 16:08:37

为什么IC需要自己的去耦电容?

来至网友的提问:为什么IC需要自己的去耦电容?
2023-11-24 07:50:02

天钰:AMOLED驱动IC将在2024年第二季度量产

预计AMOLED驱动IC将在2024年第二季度量产,甚至有希望提前量产。他表示近期感受到手机行业有不错的备货需求,拉货力度将延续至年底。
2023-11-22 11:31:12157

#共建FPGA开发者技术社区,为FPGA生态点赞#+2023.11.7+IC工程师说职场:从入职面试到升职加薪......

三五年的菜鸟:如何快速升职加薪? 进入行业三到年了,虽然经历了新人阶段的洗礼,在 IC 行业(尤其是研发岗位)还是菜鸟。感觉自己技能有了很大的进步,搞过几个项目了,听说了很多名词,但是感觉不会的东西
2023-11-07 10:06:03

易科IC公益讲座:半导体行业数字化转型系统规划概述

上海2023年11月6日 /美通社/ -- 11月2日,由IC咖啡、张江高科、Exac易科软件"半导体行业数字化转型系统规划概述"如约开讲。本次讲座荣幸邀请到易科软件半导体行业
2023-11-07 09:15:55174

什么是模拟IC?模拟IC设计要求和应用

集成电路 (IC) 自 20 世纪 50 年代末推出以来一直统治着电子行业。所有迹象都表明这些小表示将继续主导市场,尤其是模拟 IC 设计多年来变得越来越重要。
2023-11-06 09:45:211439

功能丰富的金机械ERP

     不同的金机械企业有不同的主营业务,有些金企业依然采用传统的管理方式,而手工录入物料BOM的方式不仅效率低,而且不能保证数据的准确性。  此外,由于是人工
2023-11-03 10:28:08

敦泰荣获2023年度最有影响力IC设计企业奖

2023年度硬核中国芯获奖榜单近期正式揭晓。作为业内领先的IC设计企业,敦泰受到线上工程师及线下行业专家评审团的肯定,荣获 2023年度最有影响力IC设计企业奖。
2023-11-03 10:28:03361

必易微荣获2023年度最有影响力 IC 设计企业奖

2023 年 10 月 30 日,2023 年度硬核芯评选颁奖盛典于深圳正式启幕!经过激烈角逐,必易微作为行业高度认可的中国半导体企业突破重围,以线上工程师评分数据为基础,结合线下行业专家评审团
2023-11-01 10:23:06350

ic测试是什么意思

IC测试原理 IC 测试是指依据被测器件(DUT)特点和功能,给DUT提供测试激励(X),通过测量DUT 输出响应(Y)与期望输出做比较,从而判断DUT是否符合格。图1所示为IC测试的基本原理模型
2023-10-30 11:16:58839

寻找FPGA LED接收卡行业人才!

亿晟科技是一家致力于研发和创新的技术公司,以客户为中心,以奋斗者为本,致力于为建设万物互联的智能社会而努力。我们的产品广泛应用于智慧零售、智慧安防、金融支付、智慧商显、智能直播等多个智慧城市行业
2023-10-26 10:55:42

数字棉田分区灌溉智能灌溉通球阀

数字棉田分区灌溉智能灌溉通球阀采购须知温馨提示:本产品不支持网上订购,产品均以实际配置计价为准,网上标价均为统一虚价,给您造成的不便还请谅解!具体价格请沟通后计算配置而定,谢谢! 数字
2023-10-20 11:27:20

IC测试的分类介绍

集成电路(Integrated Circuit,IC)是现代电子设备的核心组件之一,而为了确保IC的质量和性能,需要进行各种测试。IC测试是一个多层次、复杂而关键的过程,旨在检测和验证IC是否符合设计规格。本文将介绍IC测试的分类,涵盖了各种类型的测试,以及其在半导体制造和电子设备领域中的重要性。
2023-10-20 09:00:231292

驱动IC组成的H桥电路:正弦波输出方波原理?

如图所示:为两驱动IC组成的H桥电路,原本是输出正弦波的,通过将1号IC的1,2引脚连接2号IC的2,1引脚,实现了输出波形变为方波,这是什么原理啊?
2023-10-19 09:02:05

我国新型光学晶体研制实现重大突破!

来源:激光行业观察 编辑:感知芯视界 Link 激光是二十世纪人类最重大的发明之一。近日,中国科学院科研团队成功研制出一种新型非线性光学晶体全波段相位匹配晶体,实现了整个透光范围内的激光输出,它可
2023-10-11 09:47:26334

如何通过串口发送一小于65535的位数?

各位大佬,求教我要通过串口发送一小于65535的位数,目前是把每一位数拆开来,分别放到了一数组里,然后依次发送,但是这样的话,相当于一数就占了5字节 而一小于65535的5位数,实际上只需要2字节,有没有什么办法是可以通过2字节就把这个5位数发出去的吗?
2023-10-07 07:36:17

如何选择电源IC

电源IC是电源设计中必不可少的部件。本教程将提供为给定应用选择适当 IC 的步骤。它区分了三种常见的由直流电压供电的电源 IC:线性稳压器、开关稳压器和电荷泵。还提供了更的教程和主题的链接。
2023-10-05 15:52:00703

证通电子多款行业终端通过3.2版本兼容性测评,展示OpenHarmony行业创新新应用

重大突破,为OpenHarmony更广泛的生态发展奠定了基础,持续促进生态繁荣。 ZT2085政务服务终端证书 ZT2220安平服务终端证书 ZT2190智慧柜员机证书 行业创新新应用 证通电子
2023-09-28 09:58:32

单片机取下整怎么四舍入?

单片机取下整怎么四舍
2023-09-26 08:17:17

Omniverse 资讯速递 | 近期发布在线听、应用案例、行业采访等你来解锁!

在本期 「Omniverse 资讯速递」 中,Omniverse 用户和开发者将了解到: Omniverse 近期发布 在线听 Omniverse 应用案例 行业采访 Omniverse 近期发布
2023-09-19 11:10:16270

华秋亮相第届模拟半导体大会,助力电子行业高质量发展

芯片厂商在近几年抓住行业东风,在消费电子、工业以及物联网、汽车市场都取得了突破性进展。为此,电子发烧友网于2023年9月14日在深圳益田威斯汀酒店召开举办“2023第届模拟半导体大会”,大会邀请众多
2023-09-15 16:50:22

通信行业近期公布的行业数据集合

中国信息通信研究院发布了2023年7月国内手机市场运行分析报告。数据显示,2023年7月,国内市场手机出货量1855.2万部,同比下降6.8%,其中,5G手机1505.8万部,同比增长2.6%,占同期手机出货量的81.2%。
2023-09-14 16:07:03295

从华为的事件来探讨电子行业的现状

华为作为全球领先的通信和技术公司,近期的事件引起了全球范围内的关注。从电子行业的发展角度来看,这一事件无疑为电子行业带来了深刻的影响和启示。本文将从华为的事件出发,探讨电子行业的发展历程、现状分析
2023-09-12 14:01:40522

华为芯片迎重大突破

华为芯片迎重大突破:目前华为的麒麟系列芯片已经成为世界上最强大的移动芯片之一,被广泛应用于华为自家的旗舰手机以及平板电脑等设备上。 华为一直是全球领先的芯片设计和制造企业之一,近年来通过自主研发
2023-09-06 11:14:563349

IC设计行业面临哪些挑战?

IC设计领域,大摩科技产业分析师颜志天认为,由于消费者需求依然疲弱,特别是联咏预期第3季PC业务将明显滑落,将导致下半年大型面板驱动IC(LDDI)跌价5%至10%。
2023-08-24 14:33:19150

ic封装测试是做什么?ic封测是什么意思?芯片封测是什么?

ic封装测试是做什么?ic封测是什么意思?芯片封测是什么? IC封装测试是指对芯片进行封装前、封装过程中、封装后的各种测试和质量控制措施,以确保芯片的可靠性、稳定性和耐用性。IC封装测试是整个半导体
2023-08-24 10:41:532152

芯片行业入行小知识,快速学习!

进入芯片行业是一个充满挑战但又充满机会的领域。无论是作为工程师、设计师、销售人员还是管理者,以下是一些有关芯片行业入行的小知识。 1、什么是IC 集成电路的英文简称叫ICIC即integrated
2023-08-22 17:49:071000

储能行业的PCB设计分享

BMS,光伏逆变器,锂电池充电桩产品都需要用PCB板去实现,因此还是那句话,PCB板无处不在,充分渗透到每一行业中去。 那么问题来了,我们到底有没有做过这个储能大行业的产品呢?高速先生这块还特意找到
2023-08-17 12:06:29

DC-DC 降压输出方案, 连续的开关直接导致IC击穿?

各位老师, 请教一DC-DC方案的问题; 近期碰到一款用明微SM32108E做一款DC灯带的驱动,连续开关的情况偶尔会出现IC 击穿的情况! 输入:12V输出:9V1A DC-DC方案都会出现这种情况吗?
2023-08-15 17:05:46

IC测试座应该注意的要点

IC测试座是电子产品中不可或缺的一部分,以下是我们在使用IC测试座时应该注意的要点: 匹配: 确保您使用的测试座与您的IC的引脚完全匹配。如果您的测试座不能与您的IC兼容,那么可能会导致IC损坏或
2023-08-12 16:56:58

请问ST25DV04芯片可以读取实体IC卡吗?

我最近打算使用ST25DV04做一NFC卡,这款芯片可以读取实体IC卡,获取IC卡信息,并模拟IC卡刷卡吗?
2023-08-07 08:51:10

物联网行业的十大新兴趋势

工业物联网用例增加:据调查,工业物联网将成为2023年最重要的技术领域之一。今年的上升主要是由于人员短缺和疫情期间对感染的担忧。在支持物联网的行业中,加强监控和本地智能可能与机器人和自动化相结合。
2023-08-04 16:47:13681

IC烧录座你知道多少?

IC测试座安装在PCB上后组成IC烧录座/IC编程座/IC适配器,通过连接与之适配的烧录器,可进行IC或模块的编程烧录。
2023-07-21 16:26:53961

简述进行​IC设计的方法和设计流程

IC设计是一门非常复杂的科学,在IC生产流程中,IC芯片主要由专业IC设计公司进行规划、设计,如联发科、高通、Intel等国际知名大厂,都自行设计各自专精的IC芯片,提供不同规格、效能的芯片给下游客户选择。
2023-07-19 08:58:59981

马斯克宣布成立人工智能公司xAI ! 华为公布多项专利许可费/一周科技新闻点评

过去的一周,在人工智能、手机专利、物联网专利收费,美国马斯克成立人工智能公司xAI,立志与OpenAI公司一较高下,华为在最新的创新大会上,公布了智能手机、WiFi专利的收费许可规定。编辑对这些重大新闻进行点评。
2023-07-17 09:56:241181

植物传感器在列!2023年十大新兴技术发布

,《2023年前沿技术十大报告》(以下简称“报告”)旨在帮助各行业的专业人士预测可能出现指数级发展的技术,解读其影响、推动塑造产业和服务社会的应用。 这十大新兴技术包括以下内容。 01柔性电池 从可卷曲的计算机屏幕到“智能”服装,电子产品未来将越来越
2023-07-13 10:54:41609

如何提高电压监控器IC的性能

可靠的电压监控器IC,一直是工业界的行业需求。因为它可以提高系统可靠性,并在电压瞬变和电源故障时提升系统性能。当前,半导体制造商都在不断提高电压监控器IC的性能,以寻求突破。
2023-07-10 16:22:43357

带你了解IC测试座及探针作用!

芯片测试座又称:IC Socket 、 IC 测试座、IC插座。
2023-07-08 15:13:181447

世界经济论坛公布2023年十大新兴技术

来源:世界经济论坛世界经济论坛宣布了其年度突破性技术榜单,列出最有潜力对世界产生积极影响的十大技术,包括柔性电池、生成式人工智能和可持续航空燃料等。《2023年十大新兴技术报告》与Frontiers
2023-06-30 10:09:21470

什么是ic设计 ic设计和芯片设计区别

IC设计指的是集成电路设计(Integrated Circuit Design),它是指将电子元器件、电路和功能集成到单个芯片中的过程。IC设计涉及到将电路功能进行逻辑设计、布局布线、验证仿真等多个阶段,以及与层次化方式相结合的物理设计、封装设计、测试设计等相关工作。
2023-06-28 16:32:534080

Nulink的下载芯片IC需要烧FW吗?

新唐的开发板自带Nulink的下载芯片IC,可以直接下载程序但如果是新买的Nulink IC,是需要烧FW吧,这个FW哪里可以下载?另要怎么烧录?
2023-06-28 08:49:03

刚刚!世界10大新兴科技公布!这种传感器技术入选!未来5年将影响全球!

日前(26日)晚间,世界经济论坛(亦称达沃斯经济论坛)发布《2023年十大新兴技术报告》,评选出目前最有潜力、对世界产生积极影响的十大科技,其中,一项传感器技术入选10大技术榜单。  在十大新兴技术
2023-06-28 08:38:19577

Omniverse 资讯速递 | 行业动态、近期发布在线听、最新更新、中文课程系列等你来解锁!

在本期 「Omniverse 资讯速递」 中,Omniverse 用户和开发者将了解到: Omniverse 行业动态 Omniverse 近期发布在线听 Omniverse 更新
2023-06-19 18:55:02286

电梯方通话有什么功能?|深圳梯云物联

。以上就是深圳梯云物联科技有限公司小编给您们介绍的电梯方通话有什么功能的内容,希望大家看后有所帮助!深圳梯云物联科技有限公司成立于2017年,专注于电梯信息化管理和电梯全方位安全监管的研发与应用,是电梯行业领先的智能化解决方案提供商及运营服务商。
2023-06-13 16:26:22

防爆气象参数仪

防爆气象参数仪WX-FBQ是一种专门用在危险地方使用的气象监测的设备,越是在这些危险的地方,越是需要注意很多气象方面的安全问题,因为这些危险的因素,总是无处不在的,可能一点点的气象变化问题,就会让
2023-06-06 17:46:04

福特下一代电动汽车将于2025年落地

的,其中还包括一系列令人震惊的重大新闻。该公司概述了电池级锂的新供应协议,到2026年将生产200万辆电动汽车。但主要是,资本市场活动旨在展示福特如何缩小与竞争对手的差距,即特斯拉,后者仍在美国电动汽车市场占据主导地位。 关于新推出的电动汽车细节仍然
2023-06-02 15:15:52634

中关村论坛发布20项重大科技成果

中关村论坛的重要领域设定为今年的重大科技成果“世界科技前沿”、“经济主战场,面向国家重大需求”“为人民的生命和健康的四大每版是5名科学家或专家分别是5种代表性的科技成果,共20个。
2023-06-02 11:39:08519

应该将哪些GPIO引脚用作簧片开关的INPUT_PULLUP?

我有一簧片开关。我希望能够检测到它们何时断开连接(有一常开的,默认位置是磁簧开关旁边的磁铁。) 从我在网上收集到的信息来看,人们似乎喜欢使用外部电阻器进行连接簧片开关到他们的电路板,但我还
2023-06-02 08:45:26

NodeMCU v2上的IC为什么会变热?

我正在使用 NodeMCU v2 开发板开发一项目。问题是电路板上有一非常小的 IC(S4),它会发热很多。我无法理解为什么那个特定的 IC 会变热。 我需要帮助了解什么是 IC 及其作用。
2023-05-31 06:03:34

芯片行业,何时走出至暗时刻?

近期,芯片大厂纷纷发布了最新季度财报,随着业绩数据的逐步公布,2023年半导体市场行情正在如何演绎?芯片行业何时才能走出“至暗时刻”?产业链不同厂商的处境和感受是否存在差异? 我们透过半导体大厂
2023-05-06 18:31:29

全球首个天基蜂窝语音通话,打通了,这个重大新闻非常值得关注

4月25日,美国卫星通信初创公司——ASTSpaceMobile,宣布打通了全球首个天基蜂窝语音通话。对于卫星通信乃至整个通信行业来说,这是一个重大新闻,非常值得关注。去年,我们还只是实现了手机
2023-05-06 06:00:00312

上海和晟DSC-TGA近期测试数据

上海和晟DSC-TGA近期测试数据
2023-05-04 17:13:440

宽禁带封装大新闻|烧结银可以解决现有存在的大难题

宽禁带半导体行业资讯
善仁(浙江)新材料科技有限公司发布于 2023-04-28 17:00:16

安全认证IC如何化解物联网和供应链的被攻击风险?

Microchip扩大安全认证IC产品系列。而这一方案正是为了应对物联网的安全风险。近期,《电子发烧友》采访了Microchip安全与计算事业部产品营销经理Xavier Bignalet,了解当前的市场痛点以及安全认证IC如何应对数据风险问题。
2023-04-28 15:33:02535

半导体ic设计是什么 ic设计是芯片设计吗 IC设计流程介绍

IC设计就是指芯片设计。IC是“Integrated Circuit”的缩写,中文叫做“集成电路”,是指将多个器件和电路集成在一起,制成单个芯片,实现各种电子电路和系统集成的技术。IC设计的主要任务
2023-04-26 05:30:003366

请问一下msp430单片机的管脚可以给伏电压吗?

请问一下msp430单片机的管脚可以给伏电压吗?
2023-04-18 10:24:13

ic设计和fpga设计有什么不同 ic设计和ic验证哪个好

IC设计和IC验证都是非常重要的环节,一个好的IC产品需要二者的配合。IC设计是在满足产品规格书的前提下,实现电路性能、功耗、面积等方面的优化,从而满足设计需求的过程。而IC验证是在设计完成后,必须对所设计的芯片进行正确性、可靠性、功耗等方面的验证。
2023-04-13 17:50:504528

ic设计和fpga设计有什么不同 ic设计和ic验证哪个好

IC设计和IC验证都是非常重要的环节,一个好的IC产品需要二者的配合。IC设计是在满足产品规格书的前提下,实现电路性能、功耗、面积等方面的优化,从而满足设计需求的过程。而IC验证是在设计完成后,必须对所设计的芯片进行正确性、可靠性、功耗等方面的验证。
2023-04-12 14:01:332603

SPI IC闪烁问题如何解决?

我们正在观察来自不同供应商的两 SPI IC 之间的这种行为变化。旧 IC - Macronix“MX25R4035FM1IL0”新 IC - Renesas“AT25XE041D-SSHN-T
2023-04-12 06:47:28

喜讯!雅特力荣获2023中国IC设计成就奖之年度技术突破IC设计公司

表现,雅特力科技荣获了“2023中国IC设计成就奖之年度技术突破IC设计公司”。2023年为“IC设计成就奖”行业评选的第21年,一路伴随和见证产业的成长与发展,
2023-04-07 16:35:02424

如何解决PCF8579 LCD Driver IC中的错误?

区域中写入任何内容。 我使用了两 PCF8579 IC,因为我打算驱动总共 78 段;因此,我需要两 IC。背板由 PCF8578 IC 驱动。所以,请提出你的意见。这种情况我该怎么办。
2023-04-04 07:21:56

如何用T3Ster测试IC的热特性

近期不少客户咨询,如何测试封装IC类样品的热特性,以及结温与封装热阻的测量。在本文中,将结合集成电路热测试标准和载板设计标准向大家介绍如何用T3Ster瞬态热阻测试仪测试IC产品的热特性。
2023-04-03 15:46:273347

IC载板行业现状及市场深度分析

IC载板即封装基板,是芯片封装环节不可或缺的一部分。IC载板具有高密度、高精度、高性能、小型化及薄型化等特点,主要功能为搭载芯片,为芯片提供支撑、散热和保护作用。IC载板是芯片封装技术向高阶封装领域发展的产物,是集成电路产业链封测环节的关键载体。
2023-04-03 14:11:112415

在三相线制系统中为什么外壳还要接地呢?

三相线制系统中,电机内部接了PE线,为什么外壳还要接地呢?
2023-04-03 11:36:06

杰理科技再次荣获“中国IC设计成就奖”

2023年3月30日,中国IC领袖峰会暨中国IC设计成就奖颁奖典礼在上海举办,作为中国IC设计行业的年度盛会,本次峰会以“创新驱动未来”为主题,汇聚表现卓越的企业领袖和行业专家,围绕IC设计行业面临
2023-03-31 11:47:00906

已全部加载完成