0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

正点原子开拓者FPGA Qsys视频:定时器 IP核

电子硬件DIY视频 来源:电子硬件DIY视频 2019-09-18 07:03 次阅读

人类最早使用的定时工具是沙漏或水漏,但在钟表诞生发展成熟之后,人们开始尝试使用这种全新的计时工具来改进定时器,达到准确控制时间的目的。定时器确实是一项了不起的发明,使相当多需要人控制时间的工作变得简单了许多。人们甚至将定时器用在了军事方面,制成了定时炸弹,定时雷管。现在的不少家用电器都安装了定时器来控制开关或工作时间。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1602

    文章

    21314

    浏览量

    593134
  • 控制
    +关注

    关注

    4

    文章

    997

    浏览量

    122141
  • 定时器
    +关注

    关注

    23

    文章

    3147

    浏览量

    112034
收藏 人收藏

    评论

    相关推荐

    分享正点原子FPGA开发板全套资料

    本帖最后由 100dongdong 于 2020-5-16 23:48 编辑 正点原子FPGA开拓者开发板,Intel(Altera) FPG
    发表于 05-16 23:35

    正点原子开拓者FPGA开发板资料连载第十三章 IP之PLL实验

    1)实验平台:正点原子开拓者FPGA 开发板2)摘自《开拓者FPGA开发指南》关注官方微信号公众
    发表于 07-30 14:58

    正点原子开拓者FPGA开发板资料连载第二十一章 VGA图片显示实验

    1)实验平台:正点原子开拓者FPGA 开发板2)摘自《开拓者FPGA开发指南》关注官方微信号公众
    发表于 08-05 11:12

    正点原子开拓者FPGA开发板资料连载第四十三章 以太网通信实验(2)

    1)实验平台:正点原子开拓者FPGA 开发板2)摘自《开拓者FPGA开发指南》关注官方微信号公众
    发表于 08-24 16:41

    正点开拓者FPGA开发板使用问题

    求问各位大佬,刚刚入门正点开拓者FPGA开发板,用板载pcf8591采集信号发生单一频率正弦波,再用ip做fft,结果和matlab上f
    发表于 01-04 09:34

    FPGA verilog相关视频:quartus中的qsys的讲解

    该课程是正点原子团队编写,详细讲解了quartus中的qsys。也可以从我头像点进去看FPGA verilog相关的视频
    的头像 发表于 08-06 06:02 2753次阅读
    <b class='flag-5'>FPGA</b> verilog相关<b class='flag-5'>视频</b>:quartus中的<b class='flag-5'>qsys</b>的讲解

    正点原子开拓者FPGA Qsys视频:uC/GUI图片/数字显示实验

    该课程是正点原子团队编写,详细讲解了quartus中的qsys。也可以从我头像点进去看FPGA verilog相关的视频
    的头像 发表于 09-18 07:04 1716次阅读
    <b class='flag-5'>正点</b><b class='flag-5'>原子</b><b class='flag-5'>开拓者</b><b class='flag-5'>FPGA</b> <b class='flag-5'>Qsys</b><b class='flag-5'>视频</b>:uC/GUI图片/数字显示实验

    正点原子开拓者FPGA开发板配套视频FPGA是什么

    正点原子开拓者FPGA开发板配套视频
    的头像 发表于 09-04 06:02 1962次阅读
    <b class='flag-5'>正点</b><b class='flag-5'>原子</b><b class='flag-5'>开拓者</b><b class='flag-5'>FPGA</b>开发板配套<b class='flag-5'>视频</b>:<b class='flag-5'>FPGA</b>是什么

    正点原子开拓者FPGA开发板配套视频(1)

    正点原子开拓者FPGA开发板配套视频
    的头像 发表于 09-04 06:00 1793次阅读
    <b class='flag-5'>正点</b><b class='flag-5'>原子</b><b class='flag-5'>开拓者</b><b class='flag-5'>FPGA</b>开发板配套<b class='flag-5'>视频</b>(1)

    正点原子开拓者FPGA Qsys视频:uCOS II任务管理与时间管理(2)

    该课程是正点原子团队编写,详细讲解了quartus中的qsys。也可以从我头像点进去看FPGA verilog相关的视频
    的头像 发表于 09-17 07:10 1207次阅读
    <b class='flag-5'>正点</b><b class='flag-5'>原子</b><b class='flag-5'>开拓者</b><b class='flag-5'>FPGA</b> <b class='flag-5'>Qsys</b><b class='flag-5'>视频</b>:uCOS II任务管理与时间管理(2)

    正点原子开拓者FPGA Qsys视频:EPCS IP核(2)

    IP(知识产权)核将一些在数字电路中常用,但比较复杂的功能块,如FIR滤波器、SDRAM控制器、PCI接口等设计成可修改参数的模块。随着CPLD/FPGA的规模越来越大,设计越来越复杂(IC的复杂度
    的头像 发表于 09-16 07:08 1306次阅读
    <b class='flag-5'>正点</b><b class='flag-5'>原子</b><b class='flag-5'>开拓者</b><b class='flag-5'>FPGA</b> <b class='flag-5'>Qsys</b><b class='flag-5'>视频</b>:EPCS <b class='flag-5'>IP</b>核(2)

    正点原子开拓者FPGA Qsys视频:自定义IP核之数码管(2)

    该课程是正点原子团队编写,详细讲解了quartus中的qsys。也可以从我头像点进去看FPGA verilog相关的视频
    的头像 发表于 09-16 07:07 2540次阅读
    <b class='flag-5'>正点</b><b class='flag-5'>原子</b><b class='flag-5'>开拓者</b><b class='flag-5'>FPGA</b> <b class='flag-5'>Qsys</b><b class='flag-5'>视频</b>:自定义<b class='flag-5'>IP</b>核之数码管(2)

    正点原子开拓者FPGA Qsys视频:PIO按键控制LED

    该课程是正点原子团队编写,详细讲解了quartus中的qsys。也可以从我头像点进去看FPGA verilog相关的视频
    的头像 发表于 09-16 07:06 2561次阅读
    <b class='flag-5'>正点</b><b class='flag-5'>原子</b><b class='flag-5'>开拓者</b><b class='flag-5'>FPGA</b> <b class='flag-5'>Qsys</b><b class='flag-5'>视频</b>:PIO按键控制LED

    正点原子开拓者FPGA Qsys视频:PIO IRQ

    该课程是正点原子团队编写,详细讲解了quartus中的qsys。也可以从我头像点进去看FPGA verilog相关的视频
    的头像 发表于 09-16 07:04 1298次阅读
    <b class='flag-5'>正点</b><b class='flag-5'>原子</b><b class='flag-5'>开拓者</b><b class='flag-5'>FPGA</b> <b class='flag-5'>Qsys</b><b class='flag-5'>视频</b>:PIO IRQ

    正点原子开拓者FPGA Qsys视频:Hello World

    该课程是正点原子团队编写,详细讲解了quartus中的qsys。也可以从我头像点进去看FPGA verilog相关的视频
    的头像 发表于 09-12 07:09 3315次阅读
    <b class='flag-5'>正点</b><b class='flag-5'>原子</b><b class='flag-5'>开拓者</b><b class='flag-5'>FPGA</b> <b class='flag-5'>Qsys</b><b class='flag-5'>视频</b>:Hello World