0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

RAM分为简单双口RAM和真双口RAM

DIri_ALIFPGA 来源:未知 作者:李倩 2018-06-29 08:54 次阅读

FPGA设计过程中,使用好双口RAM,也是提高效率的一种方法。

官方将双口RAM分为简单双口RAM和真双口RAM。

简单双口RAM只有一个写端口,一个读端口。

真双口RAM分别有两个写端口和两个读端口。

无论是简单双口RAM还是真双口RAM,在没有读操作的情况下,应将读使能rden信号拉成低电平,节省功耗。

在两种情况下,都应当避免read-during-write,虽然可在软件中进行设置,但是,作为设计者,应当尽量避免此种情况。

对于真双口RAM,还应当避免两个读端口或者两个写端口同时操作同一个地址,RAM中并没有此种冲突解决电路,设计者应该避免这种冲突。

无论是那种双口RAM,读时序图是相同的。

当读使能有效时,数据会在时钟下一个上升沿从Q端输出。

真双口RAM给设计带来很多便利。在高速存储中,需要对连续的数据同时处理,使用简单双口RAM只能读取一个数据,而使用真双口RAM可以同时读取两个数据,这样明显提高读取速度以及处理速度。

调用真双口RAM,如图设置。

这里可以设置时钟方案,而读使能rden并非必须信号。当使用rden信号时,此信号高电平有效,当不使用rden信号时,给定地址,下一个脉冲数据从q端口送出。

这里的设置非常重要,当选中read output ports时,q端会增加一级寄存器。虽然这样增加流水线能够提高电路的速度,但同时从读使能有效到最终数据有效将会多延迟一个时钟周期。在设计中要格外注意。根据设计自行设置。

上面两张图是指定对同一地址操作时的输出。作为设计者,应尽量在自己的设计中避免这种情况发生。

真双口RAM用好了是非常省时间的。之前我们文章中提到硬件FFT的实现,在实现过程中,使用基2的设计方案,需要同时读取两个RAM数据,这种情况下可以使用真双口RAM提高效率。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1602

    文章

    21320

    浏览量

    593158
  • RAM
    RAM
    +关注

    关注

    7

    文章

    1321

    浏览量

    113705
  • 电平
    +关注

    关注

    5

    文章

    338

    浏览量

    39563

原文标题:双口RAM,值得研究

文章出处:【微信号:ALIFPGA,微信公众号:FPGA极客空间】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    RAM - 第2节

    RAM
    充八万
    发布于 :2023年09月01日 19:47:08

    基于FPGA的RAM实现及应用

    【作者】:秦鸿刚;刘京科;吴迪;【来源】:《电子设计工程》2010年02期【摘要】:为了在高速采集时不丢失数据,在数据采集系统和CPU之间设置一个数据暂存区。介绍RAM的存储原理及其在数字系统中
    发表于 04-24 09:44

    DSP与RAM的通信程序

    本帖最后由 咖小啡 于 2011-3-23 11:41 编辑 请高手指教DSP-TMS320F2812与RAM-IDT7130的通信程序,
    发表于 03-23 11:41

    ram读数据的速度太慢

    系统结构与功能: lpc3131外接8k*8ram与8G Flash,从ram读取数据(
    发表于 08-04 11:05

    求助,RAM选型!

    我想选一片RAM,是32KX8,5V供电的,我首先选择了IDT7007但是发现没有工业级的,军用级价格很贵但是采购有很麻烦,请求大家推荐一块
    发表于 09-20 10:30

    用FPGA实现ram的问题

    我想用fpga实现一个ram,有8位的数据和地址线,他们是共享的,分时复用,请问怎么解决这个问题,另外读写冲突的问题怎么解决应该,哪位高手指点一下,谢谢啦。
    发表于 07-10 11:21

    关于FPGA设计ram的问题

    我现在需要设计一个ram,它要求数据和地址线是复用的,双向的,想利用FPGA设计,请教下大家思路,谢谢。
    发表于 07-13 08:52

    基于CPLD的RAM设计

    求教大牛关于CPLD的RAM设计程序!
    发表于 10-22 16:18

    FPGAram

    利用FPGA设计ram,最大设计多的空间的?如果是cpld来实现,空间是不是更小?如何去确定这个大小呢?求指导
    发表于 10-21 21:23

    RAM的调试

    RAM实现和DSP的通信,用chipscope将要看的输出信号加进去的时候发现信号线呈现红色,BASE TYPE是IOBUF类型,这个应该是错的,加信号进去会警告提示布局布线可能会出错,事实的确如此,有人知道是什么原因吗?
    发表于 04-20 20:06

    ram地址仲裁方案请教 大神请留步

    现在我有一个麻烦寻求大神技术支持!!!描述:现在有一个项目是做AD采集的方案是PC机主板RAM采集卡传感器,整体架构就是这个样,我主要做
    发表于 01-18 13:51

    基于FPGA的RAM与PCI9O52接口设计

    引言IDT70V28L(RAM)的存取时间大于20ns,PCI9052工作于25MHz,其存取时间要大于
    发表于 12-12 10:27

    什么是RAM? 基于FPGA的RAM有哪些应用?

    什么是RAM?基于FPGA的RAM有哪些应用?
    发表于 05-06 07:41

    怎样去设计PCI和RAM之间的接口?

    PCI9052是什么?什么是DRAM?怎样去设计PCI和RAM之间的接口?
    发表于 05-07 06:03

    ERAM用作简单RAM时哪个信号可以作为wren使用?

    ERAM用作简单RAM时,哪个信号可以作为wren使用?
    发表于 08-11 09:50