0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Vivado中综合实现和出bit文件步骤教程

Hx 作者:工程师陈翠 2018-07-05 01:21 次阅读

本文详解综合实现和出bit文件。各Block都搭建完成后,选中这个bd右键,Generate Output Products主要是把IP参数和连接信息update到project中,同时也会检查错误。(一般synth也会更新,但是有目标的update下更放心)

没有错误的话,再右键Create HDL Wrapper,给整个bd加个hdl的壳。(因为综合工具不处理原理图bd,所以再次倒腾回hdl的code模式)

Vivado中综合实现和出bit文件步骤教程

我的project会报这个警告。是BRAM的连接端口不匹配,我自己的IP中BRAM类型是OTHER,可以自己双击BRAM_Port端口把MasterType类型改成BRAM_CTRL,就不报warning了。

Vivado中综合实现和出bit文件步骤教程

先Run Synthesis,在Run Implementation,最终Generate Bitstream。建议一步一步来,工程大,电脑配置又不顶尖的话,耗时会非常长。一步步来,逐步把错误消了。

Vivado中综合实现和出bit文件步骤教程

上面的Simulation和Debug是两个比较重要的调试环节,后面章节单独介绍。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • bit
    bit
    +关注

    关注

    0

    文章

    47

    浏览量

    31853
  • Vivado
    +关注

    关注

    18

    文章

    790

    浏览量

    65092
收藏 人收藏

    评论

    相关推荐

    探索Vivado HLS设计流,Vivado HLS高层次综合设计

    文件来与所得结果进行对比验证。 3.实验步骤 3.1.在Vivado HLS GUI界面中创建项目 3.1.1.启动Vivado HLS 2018.1     3.1.2.创建一个新的
    的头像 发表于 12-21 16:27 3207次阅读

    ise烧录文件到板子步骤

    OK确认 3.3 弹出如下窗口,该窗口用途:选择创建PROM File的.bit文件。其中.bit文件综合
    发表于 01-24 14:04

    关于Vivado生成的.ll和.bit文件问题

    vivado可以生成的.ll内容看不太懂,例如 Bit lines have the following form:; Bit 51598948 0x0042011f68 Block
    发表于 11-19 20:41

    vivado 2015.3 综合报错

    菜鸟求指教,最近在用vivado 2015.3 做个小项目,遇到问题:代码综合后会报错:'get_property' expects at least one object.错误地址在IP的 clocks.xdc文件
    发表于 08-31 10:42

    xilinx EDF已经综合过的网表文件怎样添加到Vivado工程?

    xilinx EDF已经综合过的网表文件怎样添加到Vivado工程?买了一个第三方的IP,给出了端口列表和核心模块发射机的.edf已经综合
    发表于 09-07 11:34

    Vivado EDN文件读取错误

    /vivado.tcl”第30行)************************************************** *************为什么该工具在'input'目录搜索edf文件,后
    发表于 10-18 14:26

    Vivado综合操作的重定时(Retiming)

    使用的更多信息,请参阅(UG901)Vivado设计工具用户指南:Synthesis(综合)。 分析日志文件的信息图4是通过重定时提升逻辑水平的一个例子,该电路结构中有一个关键的路径
    发表于 03-14 12:32

    VIVADO从此开始高亚军编著

    / 134第5章 IP的管理 / 1355.1 定制IP / 1355.1.1 在Vivado工程定制IP / 1355.1.2 在Manage IP定制IP / 1395.2 IP的两种生成
    发表于 10-21 18:24

    Vivado综合实现,编程和调试工程可能会出现的问题及解决方案

    ,列出一些常见的Vivado使用过程中出现的问题,供大家参考。在Vivado使用过程 出现的问题,主要会分为以下几类:与Vivado软件本身相关的问题
    发表于 07-31 09:09

    设置Vivado压缩BIT文件的两种方法

    在调试Vivado 过程中,由于生成的BIT文件过大,而我使用的FLASH又是32MBIT的,出现了FLASH过小,无法烧录的情况。
    发表于 12-22 14:21 8782次阅读

    Vivado的安装生成bit文件及烧录FPGA的简要流程教程免费下载

    本文档的主要内容详细介绍的是Vivado的安装生成bit文件及烧录FPGA的简要流程教程免费下载。
    发表于 06-18 08:00 25次下载

    Vivado综合引擎的增量综合流程

    Vivado 2019.1 版本开始,Vivado 综合引擎就已经可以支持增量流程了。这使用户能够在设计变化较小时减少总的综合运行时间。
    发表于 07-21 11:02 1389次阅读

    Vivado下载程序步骤和ISE差异问题

    由于Vivado下载程序步骤和ISE有较大差异,特此写此文章,希望对大家有所帮助。 1,下载文件生成 在.bit文件生成后,在TCL中输入
    的头像 发表于 01-02 10:45 6108次阅读
    <b class='flag-5'>Vivado</b>下载程序<b class='flag-5'>步骤</b>和ISE差异问题

    Vivado生成bit流失败,怎么解决?

    使用Vivado Runs基础结构时(例如,launch_runs Tcl命令),请将此命令添加到.tcl文件,并将该文件作为执行运行的write_bitstream步骤的预钩添加
    发表于 02-20 06:02 9次下载
    <b class='flag-5'>Vivado</b>生成<b class='flag-5'>bit</b>流失败,怎么解决?

    Vivado布线和生成bit参数设置

    本文主要介绍Vivado布线参数设置,基本设置方式和vivado综合参数设置基本一致,将详细说明如何设置布线参数以优化FPGA设计的性能,以及如何设置Vivado压缩
    的头像 发表于 05-16 16:40 3237次阅读
    <b class='flag-5'>Vivado</b>布线和生成<b class='flag-5'>bit</b>参数设置