0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
会员中心
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

基于FIR滤波器的带限白噪声的设计方案实现

FPGA技术江湖 来源:FPGA技术江湖 2024-04-09 09:08 次阅读

一、高斯白噪声

高斯噪声:是一种随机噪声,其时域内的信号幅度的统计规律服从高斯分布。

白噪声:信号的功率谱在整个频域内为常数的噪声。

带限白噪声:带宽限制在一定范围内的高斯白噪声。

二、产生方法

传统的高斯白噪声的产生是将多个m序列通过D/A转换器,然后通过滤波器得到,比较繁琐。本项目将通过线性反馈移位寄存器和FIR滤波器完成。

首先通过matlab中wgn函数生成高斯白噪声,并将生成的噪声数据存入到rom中,然后通过LFSR产生m序列伪随机码作为rom地址对噪声数据进行读取增加其随机性,最后将输出的随机噪声通过FIR滤波器得到带限白噪声。

三、线性反馈移位寄存器(LFSR)

伪随机码的性能指标直接影响产生白噪声的随机性。本设计采用xilinx提供的LFSR IP核来实现。

0082e424-f60b-11ee-a297-92fbcf53809c.png

00924a36-f60b-11ee-a297-92fbcf53809c.png

LFSR可以通过如图方式进行配置,可选择输出的数据类型、位宽、小数位等。

本设计选择数据类型为UFIX_32_4,然后再通过convert对其进行截位,得到UFIX_10_0的数据输出作为ROM地址,再一次提高了随机性。

0099d436-f60b-11ee-a297-92fbcf53809c.png

四、FIR滤波器系数重载

该部分滤波器的设计选择Use Reloadable Coefficients模式,接收系统发送的滤波器系数,产生可变带宽的带限噪声信号。

00a6b2f0-f60b-11ee-a297-92fbcf53809c.png

使用可重新加载的滤波器系数时,需将内部系数设置成相同长度的0,然后借助FDATools设计并导出滤波器系数。

本设计中低通FIR滤波器使用等波纹法设计、128阶,250M采样率、通带频率10M、截止频率20M。

00afbe40-f60b-11ee-a297-92fbcf53809c.png

设计完成后可通过下图操作将系数生成.m文件,然后就可以作为重载系数输入到滤波器中了。

00b9cff2-f60b-11ee-a297-92fbcf53809c.png

00be0b62-f60b-11ee-a297-92fbcf53809c.png

滤波器设置成系数重载模式时,需控制的接口如下图;

其中data_tdata_real即为输入的数据,reload_tdata_data为输入的系数。

00cfec42-f60b-11ee-a297-92fbcf53809c.png

其接口时序图如下图所示:

00d6bab8-f60b-11ee-a297-92fbcf53809c.png

按照时序要求配置FIR滤波器:

00e88e50-f60b-11ee-a297-92fbcf53809c.png

然后对输出数据的位宽和类型进行调整得到结果。

00ee2e3c-f60b-11ee-a297-92fbcf53809c.png

最后得到的带宽为10M的带限白噪声:

00f906b8-f60b-11ee-a297-92fbcf53809c.png




审核编辑:刘清

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • matlab
    +关注

    关注

    179

    文章

    2946

    浏览量

    229459
  • ROM
    ROM
    +关注

    关注

    4

    文章

    539

    浏览量

    85429
  • 移位寄存器
    +关注

    关注

    2

    文章

    252

    浏览量

    22165
  • fir滤波器
    +关注

    关注

    1

    文章

    93

    浏览量

    18958
  • 高斯白噪声
    +关注

    关注

    0

    文章

    6

    浏览量

    7720

原文标题:往期精选:基于FIR滤波器的带限白噪声的设计

文章出处:【微信号:HXSLH1010101010,微信公众号:FPGA技术江湖】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    使用FPGA构建的数字滤波器设计方案

    本文简要介绍了FIR数字滤波器的结构特点和基本原理,提出基于FPGA和DSP Builder的FIR数字滤波器的基本设计流程和实现
    发表于 07-24 15:30 8729次阅读
    使用FPGA构建的数字<b class='flag-5'>滤波器</b><b class='flag-5'>设计方案</b>

    FIR滤波器FAQ原理简述

    FIR每一个抽头都需要一个MAC。大多数DSP微处理实现MAC操作都是单指令周期。5)跃迁(Transition Band) -在通带和阻带边沿之间的频带。跃迁
    发表于 09-24 16:05

    基于FPGA的FIR滤波器设计与实现

    本帖最后由 eehome 于 2013-1-5 09:50 编辑 基于FPGA的FIR滤波器设计与实现   文章研究基于FPGA、采用分布式算法实现
    发表于 08-11 15:32

    如何设计低通FIR滤波器

    此示例显示如何设计低通FIR滤波器。这里介绍的许多概念可以扩展到其他响应,如高通,通等。FIR滤波器被广泛使用,因为它们具有强大的设计算法
    发表于 08-23 10:00

    基于MATLAB与QUARTUS II的FIR滤波器该怎么设计?

    系统兼具实时性和灵活性,而现有设计方案(如DSP)则难以同时达到这两方面要求。而使用具有并行处理特性的FPGA实现FIR滤波器,具有很强的实时性和灵活性,因此为数字信号处理提供一种很好
    发表于 11-04 08:08

    fir滤波器的设计和实现

    对于fir滤波器,已经在前面的文章中记录了仿制DIY&关于MATLAB中滤波器设计工具的使用心得记录),其设计和实现都非常简单。如果在嵌入式系统中可以满足且有必要实时iir运算,那么
    发表于 12-22 08:29

    基于DSP的FIR数字滤波器设计与实现

    分析了FIR数字滤波器的基本原理,在MATLAB环境下利用窗函数设计FIR低通滤波器实现FIR
    发表于 12-18 15:53 101次下载

    FIR并行滤波器设计

    FIR并行滤波器设计 数字滤波器可以滤除多余的噪声,扩展信号频带,完成信号预调,改变信号的特定频谱分量,从而得到预期的结果。数字滤波器在D
    发表于 01-16 09:47 1369次阅读
    <b class='flag-5'>FIR</b>并行<b class='flag-5'>滤波器</b>设计

    高效FIR滤波器的设计与仿真-基于FPGA

    高效FIR滤波器的设计与仿真-基于FPGA 摘要:该文在介绍有限冲激响应(FIR)数字滤波器理论及常见实现方法的基础上,提出了一种基于FP
    发表于 01-16 09:56 1565次阅读
    高效<b class='flag-5'>FIR</b><b class='flag-5'>滤波器</b>的设计与仿真-基于FPGA

    采用DSPBuilder的FIR滤波器方案实现

    采用DSPBuilder的FIR滤波器方案实现 1.引言     在信息信号处理过程中,如对信号的过滤、检测、预测等,都要使用
    发表于 03-04 17:09 806次阅读
    采用DSPBuilder的<b class='flag-5'>FIR</b><b class='flag-5'>滤波器</b>的<b class='flag-5'>方案</b><b class='flag-5'>实现</b>

    基于优化神经网络的FIR滤波器设计方案

    引 言 IIR 滤波器 不易做成线性相位,FIR滤波器只要满足一定条件就可做成线性相位,FIR滤波器有传统的设计方法,如窗函数法、频率采样法
    发表于 09-05 14:27 2777次阅读

    基于FPGA的FIR滤波器设计与实现

    基于FPGA的FIR滤波器设计与实现,下来看看
    发表于 05-10 11:49 39次下载

    FIR数字滤波器设计方案

    文中基于分布式算法实现FIR数字滤波器设计方案。该方案分为3部分,首先是利用Mat-lab软件产生需要的数据;使用FDATool工具包生成
    发表于 12-07 16:22 6次下载
    <b class='flag-5'>FIR</b>数字<b class='flag-5'>滤波器</b><b class='flag-5'>设计方案</b>

    FIR滤波器的FPGA设计与实现

    ,结合MATLAB软件提供的专用数字滤波器设计工具包FDATOOL,以及QuartusⅡ软件提供的FIR实现快速、便捷的设计FIR滤波器
    发表于 12-21 14:53 14次下载
    <b class='flag-5'>FIR</b><b class='flag-5'>滤波器</b>的FPGA设计与<b class='flag-5'>实现</b>

    基于单片机和EP3C25E144C8N芯片实现FIR滤波器设计方案

    本文介绍一种基于SoPC的FIR滤波器设计方案,设计流程如图l所示。该设计方法程序简单,调试方便,得到的FIR滤波器精确度高。
    的头像 发表于 03-24 09:18 3217次阅读
    基于单片机和EP3C25E144C8N芯片<b class='flag-5'>实现</b><b class='flag-5'>FIR</b><b class='flag-5'>滤波器</b>的<b class='flag-5'>设计方案</b>